数电课程设计报告

时间:2024.4.29

东莞理工学院城市学院

《数字电子技术基础》课程设计报告

题目:四人抢答器

班级:13电信1班 学生姓名:吕浩林

学号:201335030129 指导老师:唐志辉

日期:20xx年12月31日

目录

一 课题名称…………………………………………………………1

二 内容摘要…………………………………………………………1

三 设计内容及要求…………………………………………………1 四方案的选择………………………………………………………2

五 系统框图…………………………………………………………3

六 单元电路 …………………………………………………………3

1复位、抢答电路…………………………………………………………4 2时基集成电路……………………………………………………………4 3 门电路……………………………………………………………………4

七 完整电路图如下…………………………………………………5

八 参考元件列表……………………………………………………5

九 组装与检测调试…………………………………………………6

十 主要集成芯片简介………………………………………………6

174LS175四D触发器……………………………………………………6 2 NE555……………………………………………………………………7 十一 收获和体会……………………………………………………8 十二 参考文献………………………………………………………8

一 课题名称

四人抢答器

二 内容摘要

四人抢答器主要有四个抢答按钮,一个复位按钮,4个发光二极管,1个普通二极管,1个蜂鸣器,2个电容,11个电阻,4个集成块组成。每次抢答蜂鸣器只对一个抢答按钮起作用,每个抢答按钮对应一个发光二极管,当抢答开始时,第一个选手按下按钮时,对应的发光二极管亮,此时蜂鸣器响,其他选手再按按钮没用,从新开始时需主持人按下复位按钮,选手方可进入下一环节抢答。

三 设计内容及要求

《数字电路课程设计》是电子信息工程专业的一门实践性要求很高的专业基础课。它的教学目的和任务要求学生掌握数字电路的概念、逻辑代数基础、门电路、触发器的基本知识,较深入地理解数字组合逻辑电路、时序逻辑电路和脉冲电路的工作原理。通过《数字电路课程设计》的实践训练,掌握基本的数字电路系统的设计方法,提高动手能力、培养独立分析问题和解决问题的能力。

要求学生运用以下数字电子技术知识完成课程设计:

1.掌握逻辑代数的基本知识。掌握门电路的重要参数、不同类型门电路特点。

2.掌握组合逻辑电路的特点、分析方法及其设计方法,如译码器。

3.掌握各种触发器的功能,理解各种触发器的电路特点。

4.掌握时序逻辑电路的分析方法,理解不同时序逻辑电路设计要求,如计数器。

要求学生认真查阅资料、遵守课程设计时间安排、按时到实验室完成作品制作、并认真书写报告。报告中要求有完整的电路图,详细的电路元件列表,电路的工作原理与元器件说明,最后进行设计总结。报告格式要求按《东莞理工学院城市学院课程设计报告》要求。

通过选择下述4个课程设计题目中的一个进行设计、制作、调试,最后写出设计报告。

设计题目:数字电压表;叮咚门铃;数字钟;三人抢答器。(学生分组进行,任选一题目)

1

四方案的选择

方案一:CMOS抢答器。

CMOS是金属-氧化物-半导体结构的晶体管简称MOS晶体管,有P型MOS管和N型MOS管之分。由MOS管构成的集成电路称为MOS集成电路,而由PMOS管和NMOS管共同构成的互补型MOS集成电路即为CMOS-IC。CMOS电路由于输入太大的电流,内部的电流急剧增大,除非切断电源,电流一直在增大。这种效应就是锁定效应。当产生锁定效应时,CMOS的内部电流能达到40mA以上,很容易烧毁芯片。

CMOS器件不用的输入端必须连到高电平或低电平,这是因为CMOS是高输入阻抗器件,理想状态是没有输入电流的.如果不用的输入引脚悬空,很容易感应到干扰信号,影响芯片的逻辑运行,甚至静电积累永久性的击穿这个输入端,造成芯片失效.

方案二:TTL与非门抢答器。

TTL电路是晶体管-晶体管逻辑电路的英文缩写(Transister-Transister-Logic),是数字集成电路的一大门类。它采用双极型工艺制造,、具有高速度低功耗和品种多等特点。TTL电路是电流控制器件,而CMOS电路是电压控制器件。TTL电路的速度快,传输延迟时间短(5-10ns),但是功耗大。CMOS电路的速度慢,传输延迟时间长(25-50ns),但功耗低。TTL数字电路实现四人抢答器设计针对性强、兼顾实用、可靠性高,经济成本合理;可以发挥个人设计思路、检验我们数字电路所学知识的掌握能力,锻炼、提高我们的设计动手能力,但是相比方案三实现四人抢答器设计在操作、实施、成本方面都略为逊色。

方案三:集成触发器抢答器

由集成触发器构成的改进型抢答器中,S1、S2、S3、S3为4路抢答操作按钮。任何一个人先将某一按钮按下,则与其对应的发光二极管(指示灯)被点亮,表示此人抢答成功;而紧随其后的其他开关再被按下均无效,指示灯仍保持第一个开关按下时所对应的状态不变。S5为主持人控制的复位操作按钮,当S5被按下时抢答器电路清零,松开后则允许抢答。

2

五 系统框图

六 单元电路

1复位、抢答电路

图5.1 复位、抢答电路

要使得LS175工作,必须给第九脚(CLK)一个上升沿电平,第一脚(CLK)高电平时才能使它正常工作,它的内部是由四个门电路组成。D1输入为高电平时,Q1输出为高电平,Q1?为低电平,D2、D3、D4也是如此。当主持人按下电源开关S5时,抢答开始,当没有人抢答时,Q1、Q2、Q3、Q4都为低电平,Q1?、Q2?、Q3?、Q4?都为高电平。假如最先抢答的人是二号选手,相应的开关S2按下,D2为高电平,使得三极管Q1导通使蜂鸣器报警,同时其对应的发光二极管点亮,表明二号选手抢答成功。

数电课程设计报告

数电课程设计报告

3

2时基集成电路

图5.2 时基集成电路

当其中一位选手抢答成功时,Q端为低电平,从而NE555芯片停止工作。LS175第九脚变为低电平,而使得74LS175保持现有的状态。这时第二个人按下开关时也不会改变LS175的输出状态,直至主持人按下复位键S5时,重新抢答开始。

3 门电路

图5.3 门电路

通过四输入与非门和二输入与非门对74LS175的Q1?、Q2?、Q3?、Q4?进行合适的电平转换,驱动蜂鸣器和NE555正常工作。

数电课程设计报告

数电课程设计报告

4

七 完整电路图如下:

图6.1完整电路图

八 参考元件列表

数电课程设计报告

数电课程设计报告

5

数电课程设计报告

数电课程设计报告

九 组装与检测调试:

按照电路图连线焊接,测量各模块芯片电压是否正常。四D触发器、NE555和与非门上引脚的接法,电解电容、二三极管的极性不要接反,接通电源后,先静置一会,待电路稳定后没出现任何故障(如芯片被烧等)再进行测量,若出现类似状况应立即断开电源,查出问题(如出现虚焊点、多余焊锡、短路等),故障排除后再进行测量。

当电路板焊完测试时,发现蜂鸣器声音过小,原因是电阻阻值和电容容值不合,从而适使得三极管静态工作点没有设置好。最后通过更换电阻电容,重新调试电路,蜂鸣器正常工作。

十 主要集成芯片简介

174LS175四D触发器

6

数电课程设计报告

2 NE555

数电课程设计报告

数电课程设计报告

数电课程设计报告

数电课程设计报告

数电课程设计报告

7

十一 收获和体会

本设计详细介绍了四路智能抢答器的设计方案和功能。这种四路智能抢答器主要是基于集成芯片,成本较低,且基本能够使用于学校和一些企业的活动中。

本设计主要讲述了智能抢答器的工作原理和工作过程。在说明工作原理的过程中,突出了抢答器设计中的基本电路的组成单元以及这些单元如何实现抢答功能;结合本设计的内容,指出了各单元电路的设计方法和意义,以及如何进行抢答控制。在这次设计中遇到了很多实际性的问题,在实际设计中才发现,书本上理论性的东西与在实际运用中的还是有一定的出入的,所以有些问题不但要深入地理解,而且要不断地更正以前的错误思维。电路设计是一个很灵活的东西,它反映了你解决问题的逻辑思维和创新能力。它才是一个设计的灵魂所在。因此在整个设计过程中大部分时间是用在单元电路的理解和设计上面。很多单元电路是可以借鉴书本上的,但怎样衔接各个单元电路才是关键的问题所在。

通过这次的课程设计,我能运用已学的知识解决我在设计中遇到的问题,使我思考问题的能力得到了很大的提高。在做设计的过程中我查阅了很多的资料,并认真的阅读这些与我的设计相关的资料,从而我的专业涵养得到了提高,知识的储备量也有所增加。在做设计时,我复习了很多专业课的知识,这使得我的专业知识得以巩固。

十二 参考文献

[1]阎石.数字电子技术基础.北京:高等教育出版社,1997.12

[2]TTL Logic Data Book, Texas Instruments,1988

[3]HC/AHCT Advanced High-Speed CMOS Data Book. Texas Instruments,2000

[4]

[5]/html-pdf/5674/MOTOROLA/74LS175/782/3/74LS175.html/

8


第二篇:数电四路抢答器课程设计报告


电子科学系电子信息科学与技术

课程设计报告

课程名称:数字电子技术基础 

课题题目:四路智能抢答器

    业:电子信息科学与技术

    名:罗永强     周文龙

    号: 070702121  07070141

指导教师:史洪宇

课题题目:四路智能抢答器

一、课程设计目的

本次课程设计主要是配合《模拟电子技术》和《数字电子技术》理论课程而设置的一门实践性课程,起到巩固所学知识,加强综合能力,培养电路设计能力,提高实验技术,启发创新思想的效果。

二、初始条件

本课程设计,要求用集成电路:74LSl48,74LS192,74LS175,74LS160,74LS00,74LS04,74LS20,74LS48,74LS32,555定时器和其它器件等,实现四路定时抢答功能。                      

三、要求完成的主要任务:

1、 可同时供4名选手(或代表队)参赛,其编号分别是1到4,各用一个抢答按钮,按钮的编号与选手的编号相对应。给节目主持人设置一个控制开关,用来控制系统的清零(编号显示数码管灭灯)和抢答的开始。

2、抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,编号立即锁存,并数码管上显示选手的编号,同时扬声器给出声音提示;同时封锁输入电路,禁止其它选手抢答。

3、抢答器具有定时抢答的功能,且一次抢答的时间为10秒。当主持人启动“开始”键后,要求定时器立即进行加计时,并用显示器显示。

4、参赛选手在设定的时间内进行抢答,抢答有效,定时器停止工作,

显示器上显示选手的编号和抢答时刻的时间,并保持到主持人将系统清零为止;如果定时抢答的时间已到,而没有选手抢答时,并封锁输入电路,禁止选手超时后抢答,定时显示器上显示0。

目录

1 功能介绍…………………………………………………3

  1.1主要功能介绍…………………………………………3

  1.2扩展功能介绍…………………………………………3

2总体方案设计………………………………………….. …3

3单元模块设计………………………………………….. …4

3.1抢答器控制端电路功能介绍…………………………………4

3.2定时时间电路………………………………………………. …5

3.3控制电路和报警电路………………………………………. …5

3.4振荡电路………………………………………………………….6

4主要芯片介绍 ………………………………………………7
4.1 优先编码器 74LS148……………………………………7

4.2 计数器74LS192…………………………………………8

5四人抢答器仿真………………………………………. ……9

6系统调试 ……………………………………………... …9

7参考文献……………………………………………….. …11

1功能介绍

1.1主要功能介绍
(1)抢答器最多可供4名选手参赛,编号为1~4号,各队分别用一个按钮(分别为J1、J2、J3、J5)控制,并设置一个系统清零和抢答控制开关J4,J7,该开关由主持人控制。
(2)抢答器具有数据锁存功能,并将锁存数据用LED数码管显示出来,直到主持人清零。
(3)开关J4作为清零及抢答控制开关(由主持人控制),当开关J4被按下时抢答电路清零,当开关J7松开后则允许抢答。输入抢答信号由抢答按钮开关J1、J2、J3、J5实现。
(4)有抢答信号输入(开关J1、J2、J3、J5中的任意一个开关被按下)时,并显示出相 对应的组别号码。此时再按其他任何一个抢答器开关均无效,指示灯依旧“保持” 第一个开关按下时所对应的状态不变。

设计要求

1.2扩展功能介绍

(1)抢答器具有定时抢答的功能,且一次抢答的时间为3秒。当节目主持人启动“开始”键后,要求定时器立即减计时,并用显示器显示。

(2)参加选手在未开始抢答时按下抢答键,则犯规。显示器上显示并闪烁选手的编号。

(3)参加选手在设定的时间内抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答时刻的时间,并保持到主持人将系统清零为止。

(4)如果定时抢答的时间已到,却没有选手抢答时,本次抢答无效,封锁输入电路,禁止选手超时后抢答,时间显示器上显示并闪烁0。

2总体方案设计

设计要求

(1)主持人有开始键和复位键,按下开始键后才能开始抢答,否则犯规。

(2)用数码管显示,正常抢答后显示抢到的队号,如果犯规则闪烁显示队号。

(3)如果3秒内没有抢答,则说明该题超时作废,用0闪烁表示。

(4)复位键用于恢复犯规或超时状态

如图1所示为总体方框图。其工作原理为:接通电源后,主持人将开关拨到"清零"状态,抢答器处于禁止状态,编号显示器灭灯,定时器显示设定时间;主持人将开关置;开始"状态,宣布"开始"抢答器工作。定时器倒计时。选手在定时时间内抢答时,抢答器完成:优先判断、编号锁存、编号显示。当一轮抢答之后,定时器停止、禁止二次抢答、定时器显示剩余时间。如果再次抢答必须由主持人再次操作"清除"和"开始"状态开关。

                           图1

3单元模块设计   

31抢答器控制端电路功能介绍

设计电路见图2所示。电路选用优先编码器 74LS148 和锁存器 74LS175来完成。

该电路主要完成两个功能:一是分辨出选手按键的先后,并锁存优先抢答者的编号,同时译码显示电路显示编号(显示电路采用七段数字数码显示管);二是禁止其他选手按键,

其按键操作无效。工作过程:开关自上而下,分别是J1,J2,J3 J4,J5,J5是控制清零端,当J5闭合(清零)时,计时模块74LS160的MR端和抢答模块74LS175的MR端都置1,使整个模块处于等待工作状态;当J5断开时,抢答器处于等待工作状态,当有选手将抢答按键按下时(如按下J2),74LS148的输出经74LS48译码器接到七段显示电路处于工作状态,4Q3Q2Q=010,经译码显示为“2”。此外,MR=1,使74LS148 优先编码工作标志端(图中2号端)=1,处于禁止状态,封锁其他按键的输入。当按键松开即按下时,74LS148的 此时由于仍为CTR=1,使优先编码工作标志端为1,所以74LS148仍处于禁止状态,确保不会出二次按键时输入信号,保证了抢答者的优先性。如有再次抢答需由主持人将J5开关重新置“清零”然后才可能进行。

                              图2

32定时时间电路功能介绍
 原理及设计

该部分主要由555定时器秒脉冲产生电路、十进制同步加法计数器74LS160、十进制减法计数器74LS192、74LS48译码电路和1个7段数码管即相关电路组成。具体电路如图3所示。一块74LS192实现减法计数,通过译码电路74LS48显示到数码管上,其时钟信号由时钟产生电路提供。74192的预置数控制端实现预置数,当有人抢答时,停止计数并显示此时的倒计时时间;如果没有人抢答,且倒计时时间到时, 输出低电平到时序控制电路,之后选手抢答无效。

                               图3

33控制电路和报警电路  
由555 芯片构成多谐振荡电路 ,555 的输出信号再经或门控制时序。控制电路包括时序和报警两个电路 ,如图3所示。控制电路需具有以下几个功能:
主持人闭合开关,多路抢答器电路和计时电路进入正常状态; 参赛者按键时 ,抢答电路和计

时电路停止工作;
抢答时间到 ,无人抢答  ,抢答电路和计时电路停止工作


3.4振荡电路

本系统需要产生频率为500KHZ的脉冲信号,用于触发器的CP信号,及频率为1HZ信号用于计时电路。以上电路可用555定时器组成,也可用石英晶体组成的振荡器经过分频得到。

4主要芯片介绍
4优先编码器 74LS148

74LS148为8线-3线优先编码器,表4.1.1为其真值表,表4.1.2为其功能表,图4.1.1为其管脚图。

74LS148管脚图
 表4.1.2  74LS148 8线—3线二进制编码器真值表

74LS148工作原理如下:
    该编码器有8个信号输入端,3个二进制码输出端。此外,电路还设置了输入使能端EI,输出使能端EO和优先编码工作状态标志GS。
     当EI=0时,编码器工作;而当EI=1时,则不论8个输入端为何种状态,3个输出端均为高电平,且优先标志端和输出使能端均为高电平,编码器处于非工作状态。这种情况被称为输入低电平有效,输出也为低电来有效的情况。当EI为0,且至少有一个输入端有编码请求信号(逻辑0)时,优先编码工作状态标志GS为0。表明编码器处于工作状态,否则为1。

由功能表可知,在8个输入端均无低电平输入信号和只有输入0端(优先级别最低位)有低电平输入时,A2A1A0均为111,出现了输入条件不同而输出代码相同的情况,这可由GS的状态加以区别,当GS=1时,表示8个输入端均无低电平输入,此时A2A1A0=111为非编码输出;GS=0时,A2A1A0=111表示响应输入0端为低电平时的输出代码(编码输出)。EO只有在EI为0,且所有输入端都为1时,输出为0,它可与另一片同样器

件的EI连接,以便组成更多输入端的优先编码器。
    从功能表不难看出,输入优先级别的次为7,6,……,0。输入有效信号为低电平,当某一输入端有低电平输入,且比它优先级别高的输入端无低电平输入时,输出端才输出相对应的输入端的代码。例如5为0。且优先级别比它高的输入6和输入7均为1时,输出代码为010,这就是优先编码器的工作原理

                        

4.3   计数器74LS192

74LS192具有下述功能:

①异步清零:CR=1,Q3Q2Q1Q0=0000

②异步置数:CR=0,LD=0,Q3Q2Q1Q0=D3D2D1D0

③保持:  CR=0,LD=1,CPU=CPD=1,Q3Q2Q1Q0保持原态

④加计数:CR=0, LD=1,CPU=CP,CPD=1,Q3Q2Q1Q0按加法规律计数

⑤减计数:CR=0, LD=1,CPU=1,CPD= CP,Q3Q2Q1Q0按减法规律计数

74LS192是双时钟方式的十进制可逆计数器。

CPU为加计数时钟输入端,CPD为减计数时钟输入端。

LD为预置输入控制端,异步预置。

CR为复位输入端,高电平有效,异步清除。

CO为进位输出:1001状态后负脉冲输出

BO为借位输出:0000状态后负脉冲输出。

图4.3. 74LS192管脚引线图

5四人抢答器仿真

按照总体电路图在仿真软件proteus7.5上一一选择芯片并进行连接,然后启动开关观察。下面,我们分两部分对设计出的电路进行proteus7.5仿真。我们将各部分电路在proteus7.5上连接好后,为各个电阻和电容选取适当值,为各个开关设置好适当的键盘打开数值(例如,为某一开关设为1连接,则启动proteus7.5m仿真按钮后,在键盘上按1则此开关就由断开状态变为连接状态)然后打开proteus7.5的开关,即可根据显示器上显示的数字以及二极管的亮灭情况来判断电路设计是否成功。

6系统调试
把上面所设计的单元电路连接起来可得到整机电路。

7.1抢答显示功能测试(图4)

7.2清零功能测试(图5)

7.3倒计时功能测试(图6)

                                      图4

图5

图6

7参考文献

【1】 阎  石. 数字电子电路.  北京:高等教育出版社.  2007

【2】 康华光. 电子技术基础(数字部分). 北京:高等教育出版社.  2000

【3】 任为民. 数字电子电路学习和实验指导. 北京:广播电视大学出版社. 1992

更多相关推荐:
数 字 电 路 课 程 设 计 报 告

数字电路课程设计报告课题名称:篮球竞赛30秒计时器姓名:学号:专业班级:通信工程1指导教师:设计日期:20##年1月5日摘要:随着信息时代的到来,电子技术在社会生活中发挥着越来越重要的作用,运用模电和数电知识设…

数电课程设计报告

数电课程设计说明题目数字电子钟的设计部班级名号书系专业姓学指导教师起止日期摘要这次课程设计采用的课题是数字电子钟的设计数字钟是一种用数字电路技术实现时分秒计时的装置与机械式始终相比具有更高的准确性和直观性且无机...

数电课程设计报告

电子技术基础数字部分课程设计电子技术基础数字部分课程设计姓名学号班级专业学院20xx年3月电子技术基础数字部分课程设计目录一设计任务1二设计要求1三工作原理和电路分析11秒脉冲信号发生器21振荡器22分频电路3...

数电课程设计报告

五邑大学数字电路课程设计报告题目两位十进制计数显示器院系信息工程学院专业通信工程学号学生姓名张国辉指导教师陈鹏报告日期20xx年4月五邑大学信息工程学院通信工程两位十进制计数显示器1题目概述计数器电路是一种随时...

数电课程设计报告

数字逻辑电路设计课程设计总结报告题目汽车尾灯控制器设计指导教师莫琳设计人员学号李海沧110720xx25班级电信111日期20xx年5月一设计任务书一题目汽车尾灯控制器设计要求在实验箱上独立做出具体电路二设计要...

数字电路课程设计总结报告

数字电路课程设计总结报告题目:交通灯控制器1、设计背景2、设计任务书3、设计框图及总体描述4、各单元设计电路设计方案与原理说明5、测试过程及结果分析6、设计、安装、调试中的体会7、对本次课程设计的意见及建议8、…

数电课程设计实验报告

武汉纺织大学数字电子课程设计实验报告设计题目多路智力竞赛抢答器20xx年6月12日摘要课程教学的要求对学生进行综合性训练的一个实践性教学环节主要目的是培养学生综合运用理论知识能力分析问题和解决问题的能力以及根据...

数电课程设计

课程设计课程名称数字电子技术基础题目名称5相10拍步进电机控制器学生学院材料与能源学院专业班级微电子学1班学号31120xx312学生姓名魏梁指导教师徐迎晖20xx年6月19日广东工业大学课程设计任务书题目名称...

数电课程设计报告

数字电路课程设计报告题目两位十进制计数显示器院系信息工程学院专业通信工程学号AP1005839学生姓名指导教师报告日期20xx年4月1设计任务与要求本设计主要采用芯片有555定时器74LS162计数器74LS4...

数电课程设计报告 万年历

北京工商大学数字电子技术基础课程设计报告学专院业计算机与信息工程学院信实薛子朦10040601211具有运算及存储功能的计算机2数字万年历学生姓名学号课程设计题目设计时间20xx1223至20xx1226题目要...

设计一个一位十进制加减法++数字电路课程设计报告

课程设计报告课程微机系统与接口课程设计学号姓名班级教师大学计算机科学与技术学院设计名称设计一个一位十进制加减法器日期20xx年1月23日设计内容109十个字符和分别对应一个按键用于数据输入2用一个开关控制加减法...

数字电路课程设计报告

五邑大学数字电路课程设计报告题目出租车计价器院系信息学院专业电子信息工程学号学生姓名指导教师数字电路课程设计报告报告题目出租车计价器一题目的要求和意义随着社会的进步以及人们生活水平的日益提高都促使交通工具的不断...

数电课程设计报告(42篇)