EDA 1位全加器实验报告

时间:2024.4.7

南华大学

船山学院

实验报告

( 20## ~2010 学年度     第二学期 )

           姓名         学号 200994401   

一、实验目的:

    熟悉MAX+plus 10.2的VHDL文本设计流程全过程

二、实验原理图:

三、实验代码:

(1)LIBRARY  IEEE;  

   USE IEEE.STD_LOGIC_1164.ALL;

 ENTITY f_adder IS

   PORT (ain,bin,cin  : IN STD_LOGIC;

              cout,sum   : OUT STD_LOGIC );

 END ENTITY f_adder;

 ARCHITECTURE fd1 OF f_adder IS

   COMPONENT h_adder                

     PORT (  a,b :  IN STD_LOGIC;

       co,so :  OUT STD_LOGIC);

   END COMPONENT ;

   COMPONENT or2a

      PORT (a,b : IN STD_LOGIC;

                c : OUT STD_LOGIC);

   END COMPONENT;

SIGNAL d,e,f  :  STD_LOGIC;

  BEGIN

   u1 : h_adder PORT MAP(a=>ain,b=>bin,co=>d,so=>e);

   u2 : h_adder PORT MAP(a=>e,  b=>cin, co=>f,so=>sum);

   u3 :   or2a   PORT MAP(a=>d,  b=>f,   c=>cout);

 END ARCHITECTURE fd1;

(2)LIBRARY  IEEE; 

USE IEEE.STD_LOGIC_1164.ALL;

ENTITY h_adder IS

PORT (a, b : IN STD_LOGIC; 

    co, so : OUT STD_LOGIC); 

END ENTITY h_adder;    

ARCHITECTURE fh1 OF h_adder  is 

SIGNAL abc : STD_LOGIC_VECTOR(1 DOWNTO 0) ;

BEGIN

  abc <= a & b ; 

 PROCESS(abc)

  BEGIN

   CASE abc IS     

    WHEN "00" => so<='0'; co<='0' ;

    WHEN "01" => so<='1'; co<='0' ;

    WHEN "10" => so<='1'; co<='0' ;

    WHEN "11" => so<='0'; co<='1' ;

    WHEN OTHERS => NULL ;

   END CASE;

 END PROCESS;

END ARCHITECTURE fh1 ;

(3)LIBRARY  IEEE ;   

 USE IEEE.STD_LOGIC_1164.ALL;

 ENTITY or2a IS

   PORT (a, b :IN STD_LOGIC;

              c : OUT STD_LOGIC );

 END ENTITY or2a;

 ARCHITECTURE one OF or2a IS

   BEGIN

   c <= a OR b ;

 END ARCHITECTURE one ;

四、实验结果:

五、实验心得:

    一位全加器设计可以由两个半加器组合而成,那么八位全加器的VHDL设计应该也可以由八个一位全加器来完成,效果应该和原理图输入一样呢?


第二篇:EDA 1位全加器


课程名称:   EDA技术与FPGA应用设计 

实验项目:    1位全加器               

20##年  6 月  22  日

一、实验目的

① 熟悉ispDesignEXPERT System、Quartus II原理图设计流程的全过程。

② 学习简单组合电路的设计方法、输入步骤。

③ 学习层次化设计步骤。

④ 学习EDA设计的仿真和硬件测试方法。

二、实验原理

    1位全加器可以由2个半加器及1个或门连接而成,因此首先完成如图的半加器设计。

使用原理图输入的方法先进行底层半加器设计,在建立上层全加器设计文件,调用半加器和或门符号,连线完成原理设计。

半加器原理图

全加器原理图

三、主要仪器设备

    Isp Design EXPERT软件,FPGA配置存储芯片,硬件电路板。

四、     实验步骤

(1)启动Isp Design EXPERT软件,并创立半加器设计项目和选择器件ispLSI 1016E。

(2)添加原理图输入源文件

(3)根据上图添加半加器中的元件符号和输入输出符号,然后连线,画好半加器。

(4)将图形中半加器的添加输入输出端口并锁定引脚。

(5)画出半加器的仿真波形。

(6)删掉半加器的输入输出端口链接和引脚,将半加器变成模块的形式并保存。

(7)再把半加器当作元件,按照原理图链接。

(8)根据图形标记输入输出和锁定引脚

(9)保存所完成的全加器图

(10)建立波形仿真源文件,分别进行功能和时序仿真

(11)接好编程电缆,选择Scan Board命令。完成一个包含菊花链中所有器件的基本结构文件。

(12)添加JEDEC文件和器件编程。

(13)根据锁定的引脚观察实验板上相应的LED灯的变化。                    

五、实验结果及分析

设计校验过程:

功能仿真:在设计输入阶段,进行逻辑功能验证,称为功能仿真或前仿真。

时序仿真:在选择了具体器件并完成布局布线方案后进行的时序仿真称为后仿真或延时仿真。由于不同器件的内部延时不一样,不同的布局、布线方案也给延迟造成了很大的影响,因此在设计处理以后,分析时序关系,估计设计的性能以及检查和消除竞争冒险等是必要的。

全加器真值表

半加器电路图

                            

全加器电路图

全加器功能仿真图:

全加器时序仿真图:

根据真值表实验板验证结果正确。由波形图观察得证正确,时序仿真有一定的延时。

六、实验心得

   这个实验是我第一次接触isp Design EXPERT System这个软件。开始时按照步骤一步一步做,但因为对该软件不熟悉,所以做得比较慢。此次实验加深了对这个软件的功能的认识与操作流程的熟悉程度,以及设计一位全加器的基本思想与设计方案。

更多相关推荐:
全加器实验报告

数电实验报告二组合逻辑电路半加器全加器及逻辑运算一实验目的1掌握组合逻辑电路的功能测试2验证半加器和全加器的逻辑功能3学会二进制数的运算规律二实验元器件数电实验箱集成芯片74LS0074LS1074LS5474...

加法器 数电实验报告三

实验三加法器一实验目的1掌握用SSI器件实现全加器的方法2掌握用MSI组合逻辑器件实现全加器的方法3掌握集成加法器的应用二实验设备及器件1数字逻辑电路实验板1块274HCLS00四二输入与非门1片374HCLS...

一位全加器的实验报告

专业班级20xx级电子2班学号1020xx07姓名周娟EDA技术实验报告实验项目名称在QuartusII中用原理图输入法设计1位加法器实验日期20xx510实验成绩实验评定标准一实验目的熟悉利用QuartusI...

八位加法器的设计实验报告

八位加法器的设计实验报告学号U20xx15272班级信息安全0901姓名方浏洋日期20xx528位加法器的设计实验报告目录一实验概述2二设计思路321Quartus中74181的功能分析3228位先行加法器的设...

EDA实验报告4位全加器

实验课程名称EDA技术与应用123456

4位全加器实验报告

四位全加器11微电子黄跃1117426021实验目的采用modelsim集成开发环境利用verilog硬件描述语言中行为描述模式结构描述模式或数据流描述模式设计四位进位加法器实验内容加法器是数字系统中的基本逻辑...

全加器实验报告

南昌大学实验报告实验一全加器的设计学生姓名学号专业班级实验类型验证综合设计创新实验日期实验成绩一实验目的以一位二进制全加器为例熟悉利用QuartusII的原理图输入方法和文本输入法设计简单组合电路学习多层次工程...

半加器全加器的工作原理和设计方法实验报告

一实验目的1学习和掌握半加器全加器的工作原理和设计方法2熟悉EDA工具QuartusII的使用能够熟练运用VrilogHDL语言在QuartusII下进行工程开发调试和仿真3掌握组合逻辑电路在Quartus中的...

数字逻辑实验报告:加法器

安徽师范大学专业名称实验室实验课程实验名称姓名学号同组人员实验日期20xx326软件工程数字逻辑123456

实验2:4位加法器 实验报告

EDA技术基础实验报告实验项目名称用原理图输入法设计4位加法器学院专业信息学院电子专业姓名学号实验日期20实验成绩实验评定标准一实验目的熟悉利用Quartus的原理图输入方法设计简单组合电路掌握层次化设计的方法...

串行加法器实验报告

深圳大学实验报告课程名称学院信息工程学院班级实验时间实验报告提交时间教务部制实验要求设计一个串行数据检测器要求连续4个或4个以上为1时输出为1其他输入情况为0编写测试模块对模块进行各种层次的仿真并观察波形编写实...

计组-加法器实验报告

半加器全加器串行进位加法器以及超前进位加法器一实验原理1一位半加器A和B异或产生和Sum与产生进位C2一位全加器将一位半加器集成封装为halfadder元件使用两个半加器构成一位的全加器34位串行进位加法器将一...

全加器实验报告(38篇)