实验2:4位加法器 实验报告

时间:2024.4.20

EDA

实验项目名称:     用原理图输入法设计4位加法器               

学院专业              信息学院电子专业                               

姓名                                         

学号                                         

实验日期:        20               实验成绩:            

实验评定标准:

一、    实验目的

熟悉利用Quartus Ⅱ的原理图输入方法设计简单组合电路,掌握层次化设计的方法。并通过一个4位全加器的设计把握利用EDA软件进行原理图输入方式的电子线路设计的详细流程。

二、    实验器材

电脑一台

Quartus Ⅱ软件

三、    实验内容与步骤

1.       在Quartus Ⅱ软件中建立工程,画图生成一个半加器的.bdf文件。

2.       将此半加器文件设置成可调用的元件,使之成为一个元件符号存盘。

3.       设计全加器顶层文件,以.bdf存盘。

4.       将设计项目(.bdf文件)设置成工程和时序仿真。

5.       截图保存波形文件图,及仿真结果。

6.       将全加器原理图文件设置成符号元件,以供4位加法器的更高层设计。

7.       按实验要求画出4位加法器原理图,建立其波形并仿真,截下仿真结果图。

四、    实验电路图(程序)

1.       半加器电路原理图如下:

半加器h_adder.bdf电路原理图(1

2.       全加器电路原理图如下:

全加器f_adder.bdf电路原理图(2

3.       4位加法器four_adder.bdf电路原理图如下:

1.PNG

4位加法器four_adder.bdf电路原理图(3

五、实验仿真结果及分析

1.       全加器的仿真波形图如下:

全加器波形仿真图(3

分析

ain, bin, cin三段为输入,sum为和,com为进位,满足相关的逻辑表达式

2.       4位全加器仿真波形图如下:

2.PNG

分析

4位a[3..0]输入与4位b[3..0]输入以及来自cin输入的数码相加得到4位输出s[3..0]和进位输出c,由图可见满足加法原理。


第二篇:数电实验报告1.2-一位减法器、一位加法器


<熟悉QuartusII和Verilog HDL数字逻辑电路设计基础环境>实验报告

学生姓名:   李旭    文超周               

班级学号:   11自动化 1138033  1138019       

指导老师   潘秀琴               

<实验报告内容>

一、实验名称: 学习QurtusII基本功能和使用方法,完成一位减法器、一位加法器的原理图输入和文本输入、编译校验及功能仿真。

二、实验学时:4学时

三、实验目的:熟悉Quartus II基本功能和使用方法,掌握原理图输入、文本输入的步骤。

四、实验内容:完成一位加法器、一位减法器的设计输入并进行仿真输出。

五、实验原理:数字逻辑电路中各种门电路的功能和使用方法

 

六、实验步骤:

1. 了解quartusII的基本功能使用;

2.设计输入:首先设计出逻辑电路,然后将所设计的数字逻辑电路以某种方式输入到计算机中,QuartusII有原理图输入和文本(代码)输入两种输入模式。

3.设计编译校验:编译连接好的输入图形。

七、实验结果:

1.加法器:A.半加器

原理图:

    文本:

波形图:

B.一位全加器

全加器:

原理图:

文本输入:

波形图:

2.减法器:

原理图:

文本输入:

波形图:

八、心得体会:这是使用这个软件的第二次实验对于软件的使用已经比较熟练能够很快连接好电路进行试验

九、附录:<程序代码>

1.加法器:

A.半加器

module adder(a,b,s,co);

input a,b;

output s,co;

       and X1(a,b);

       xor Y1(a,b);

endmodule

B.一位全加器

module onebit_fulladd(a,b,ci,sum,cout);

input a,b,ci;

output sum,cout;

wire sum_temp,c_1,c_2,c_3;

xor

xor1(sum_temp,a,b);

xor2(sum,sum_temp,ci);

and

and1(c_1,a,b);

and2(c_2,a,ci);

and3(c_3,b,ci);

or

or1(cout,c_1,c_2,c_3);

endmodule

2.减法器

module minus(a,b,s,co);

input a,b;

output s,co;

wire a_;

    not (a,a_);

    xor (s,a,b);

    and (co,a_,b);

endmodule

更多相关推荐:
加法器 数电实验报告三

实验三加法器一实验目的1掌握用SSI器件实现全加器的方法2掌握用MSI组合逻辑器件实现全加器的方法3掌握集成加法器的应用二实验设备及器件1数字逻辑电路实验板1块274HCLS00四二输入与非门1片374HCLS...

八位加法器的设计实验报告

八位加法器的设计实验报告学号U20xx15272班级信息安全0901姓名方浏洋日期20xx528位加法器的设计实验报告目录一实验概述2二设计思路321Quartus中74181的功能分析3228位先行加法器的设...

四位加法器实验报告

四位加法器实验报告1实验目的掌握组合逻辑电路的基本分析与设计方法理解半加器和全加器的工作原理并掌握利用全加器构成不同字长加法器的各种方法学习元件例化的方式进行硬件电路设计学会利用软件仿真实现对数字电路的逻辑功能...

加法器的基本原理实验报告

一实验目的1了解加法器的基本原理掌握组合逻辑电路在Quartus中的图形输入方法及文本输入方法2学习和掌握半加器全加器的工作和设计原理3熟悉EDA工具QuartusII和Modelsim的使用能够熟练运用Vri...

加法器设计实验报告全

重庆XXXX实验报告课程名称实验名称实验类型系别年级班别学生姓名实验教师电子电路基础实验加法器设计设计学时3学时物理与电子工程学院专业电子信息工程09级电信2班学期20xx20xx上xxx学号20xx0701x...

数字电路加法器实验报告

中山大学移动信息工程学院本科生实验报告20xx学年秋季学期课程名称数字电路实验任课教师王军助教李正一实验题目Lab9用3种不同的方法实现4位加法器1行为级描述的加法器2行波进位加法器3超前进位加法器二实验目的1...

串行加法器实验报告

深圳大学实验报告课程名称学院信息工程学院班级实验时间实验报告提交时间教务部制实验要求设计一个串行数据检测器要求连续4个或4个以上为1时输出为1其他输入情况为0编写测试模块对模块进行各种层次的仿真并观察波形编写实...

数字逻辑实验报告:加法器

安徽师范大学专业名称实验室实验课程实验名称姓名学号同组人员实验日期20xx326软件工程数字逻辑123456

8位加法器实验报告

61实验一8位加法器的设计1实验目的1学习isEXPERTMAXplusisEXPERTMAXplusIIFoudationSeries软件的基本使用方法2学习GW48CKEDA实验开发系统的基本使用方法3了解...

4位加法器实验报告

硬件描述语言实验题目四位全加器学院数学与计算机学院学科门类xxxxxxxxxx专业xxxxxxxxxx学号姓名x指导教师xxxx20xx年x月xx日11实验目的练习VHDL语言设计工程的建立与仿真的步骤和方法熟...

八位加法器设计实验报告

8位加法器的设计姓名黄志刚班级电科1201学号12401720xx11实验目的1学习QuartusIIISESuiteispLEVER软件的基本使用方法2学习GW48CK或其他EDA实验开发系统的基本使用方法3...

多路选择器及硬件加法器实验报告

实验报告课程名称EDA技术实验实验名称班级姓名指导老师评定签名一实验目的用VHDL设计一个2选1多路选择器并设计一个8位硬件加法器二实验仪器计算机一台U盘一个Quartus软件三实验步骤一2选1多路选择器的VH...

加法器实验报告(30篇)