三人表决器实验报告

时间:2024.4.26

[技能实训]三人表决器的逻辑电路设计与制作

姓名:     班级:    日期:     课时:    成绩:   

一、实训目标

1、增强专业意识,培养良好的职业道德和职业习惯;

2、能借助资料读懂集成电路的型号,明确引脚与引脚功能;

3、了解数字集成电路的检测。

二、实训设备

1、数字实验箱;

2、万用表(MF47型   1块);

3、通用面包板1块;

4、集成电路74LS00  2个。

三、实训内容与步骤

1、三人表决器的逻辑电路的设计。

(1)完成真值表6-2-8。

表6-2-8真值表

(2)由真值表写出表达式并化简。

Y=

(3)由表达式作出电路图。

(4)根据三人表决器的逻辑电路图画出安装图。

   Y

    

 

                  A      B    C

(5)根据安装图完成电路的安装。

(6)验证表决器的逻辑功能(与表6-2-8比较)。

2、若手头只有74LS00集成电路,试用该芯片完成三人表决器功能。

(1)将表达式转换成与非式。

Y=

(2)由表达式作出电路图。

(3)根据三人表决器的逻辑电路图画出安装图。

   Y

   

 

                  A      B    C

(4)根据安装图完成电路的安装。

(5)验证表决器的逻辑功能(与表6-2-8比较)。

四、实训注意事项

1、集成块插入槽中,使标识向左,不能插反,然后明确引脚及引脚功能;

2、电源采用5V直流电。


第二篇:三人表决器实验报告


电子技术与仿真

项目报告

项目名称: 三人表决器的制作  

专业班级:    电气1 2 1     

姓    名:      刘斌         

学    号:      2 4          

指导教师:      张琴        

江西工业工程职业技术学院电工电子实训中心


一、项目目的

正确理解数字电路的要求,能知道与门、或门、非门的含义;做到了解要用到的每个芯片的引脚是什么门电路,可以去图书馆查阅书籍也可上网去阅读相关的网页资料。做到能真正了解电路的构造原理,这样才可以更好学好这门电路的元器件的应用。

二、项目要求

1)   判断正确的引脚位置;

2)   理解数字电路的原理,掌握操作步骤,能正确安装所选定的电路;

3)   掌握测试仪表仪器检测原件的使用及调整;

4)   会根据测试结果分析故障产生的原因;

5)   会利用原理图纸,判断具体故障的原因;

6)   必须得出实验的仿真结果;

7)   根据自己所仿真的电路原理图画出实物装配图。

三、项目内容

1、原理图

2、工作原理介绍

电路由74LS08的三个与门电路和74LS32两个或门电路构成,当A、B、C中任意两人按下按钮后,工作电路向74ls08中任意一个与门电路输入端输入两个高电平,输出端Y输入进74ls32任意一个或门电路中;电路只要满足一个条件即输出端有电压输出;所以发光二极管能点亮。

3、元件清单

4、芯片

① 74LS08

   

              

                  实物图                                      引脚图

真值表

② 74LS32

      

                实物图                                  引脚图

真值表

5、电路板安装

6、调试

1)   应该检查电阻连接的位置,不能使芯片悬空;

2)   74LS08的输入端应该是电阻与开关相连的一端;

3)   电路通路,但二极管不亮,有可能是二极管烧坏,需更换。

四、结论与心得体会

通过这次焊接我了解到集成芯片在实际运用中的作用,同时让我知道了解到现实集成芯片都是需要供电的,而不是跟仿真上一样不用接电源跟地的。我们要学会去看引脚图跟芯片真值表。

并且通过焊接集成芯片的电路,让我更了解焊接,焊接如果三心二意的话,引脚图很容易就连接错误了,就比如14管脚的集成芯片,8脚总是会焊接到14脚上去。。。

所以我们在做事的时候一定要细心!

更多相关推荐:
三人表决器实验报告

专业班姓名鲍旭良指导老师曾西洋实验日期20xx年6月实验名称三人表决器第1页第2页第3页第4页

三人表决器报告

项目一三人表决器的设计与装配实验报告姓名学号专业指导教师实训时间数字电子技术9月26日电子信息工程系20xx20xx学年第一学期设计题目项目一三人表决权器的设计与装配一设计要求1对电路图的原理进行分析并对原理图...

五人表决器实验报告——孙小喃

学生实验报告实验课名称VHDL硬件描述语言实验项目名称五人表决器专业名称电子科学与技术班学级320xx801号05学生姓名孙小喃教师姓名程鸿亮20xx年11月06日组别第二组同组同学钞田田实验日期20xx年11...

FPGA_三人投票表决器实验报告

实验报告一实验名称三人投票表决器的实现二实验目的1234熟练掌握QUARTUSII的操作方法了解VHDL程序书写基本语法规则熟悉原理图绘制的方法学会波形仿真验证结果三实验原理设开关状态01代表三人投票情况s1s...

七人表决器设计设计报告

七人表决器设计一设计要求1能够完成七人表决的功能并且直观的显示结果决议通过显示字母P否则显示字母E2能够选择显示表决双方的人数有控制开关与显示指示灯并且能够实时的显示反对与赞成的人数3能够选择是否记名并有指示灯...

EDA实验_七人表决器

河北科技大学实验报告20xx级电信专业122班学号3815年5月20日姓名郑振兴同组人指导教师于国庆实验名称实验一七人表决器成绩实验类型设计型批阅教师一实验目的1掌握MUXPLUSII语言输入的设计过程2初步了...

三人表决器实验报告

电子技术与仿真项目报告项目名称三人表决器的制作专业班级电气121姓名刘斌学号24指导教师张琴江西工业工程职业技术学院电工电子实训中心电子技术与仿真一项目目的正确理解数字电路的要求能知道与门或门非门的含义做到了解...

抢答器实验报告

三性实验报告册学专班报告人学实验时间提交时间实验目的1掌握组合逻辑电路的设计与测试方法熟悉常用数字集成电路的使用2掌握数字逻辑电路的设计方法训练学生综合运用数字电路基本知识设计调试电路的能力设计任务设计一个四人...

电子信息工程-8路抢答器实验报告

课程设计报告8路抢答器班级姓名学号指导教师目录一任务与要求21基本功能22扩展功能3二8路抢答器工作原理31电源部分32抢答器部分43定时部分54时序控制报警部分65计分部分7三系统总原理图9四收获体会9一任务...

实验四_三人抢答器控制

实验四三人抢答器控制实验本实验为简单设计性实验一实验目的1掌握CP1HPLC控制系统梯形图设计的一般方法2熟悉CXProgrammer软件的应用二实验设备1CP1HPLC实验箱一台2PC机一台三实验内容IO分配...

三人抢答器模拟实验报告

三人抢答器模拟实验报告一目的与要求1目的1培养学生综合利用MCS51单片机的软硬件知识进行程序设计的能力解决一定实际问题2进一步加深对MCS51单片机的内部结构和程序设计方法的理解3提高学生建立程序文档归纳总结...

数字电路3人抢答器实验报告

数字电路与逻辑课程设计报告本科题目三人抢答器设计专业网络工程班级1305022学号11姓名牟黎明评定成绩指导教师李小平易兴兵完成时间20xx年6月1日20xx年6月5日电子工程学院二零一五年五月1一实习目的1数...

三人表决器实验报告(8篇)