七人表决器设计设计报告

时间:2024.4.21

七人表决器设计

一.设计要求

1. 能够完成七人表决的功能,并且直观的显示结果:决议通过显示字母P,否则显示         字母E;

2.能够选择显示表决双方的人数,有控制开关与显示指示灯,并且能够实时的显示反对与赞成的人数;

3.能够选择是否记名,并有指示灯显示。当选择记名时,与表决参与者相对应的指示灯亮起;

4.不设置弃权的状况,超过三人同意则表示决议通过。

二.设计思路

1.使用Altera的Cyclone II器件,FPGA型号为EP2C35F672C6N;开发平台为Quartus II 8.0

2.使用6个拨动开关用以进行表决,开关闭合时表示同意,断开时表示不同意;

3.使用6个LED用以显示表决的个人结果,用以显示记名时的情况。LED亮起表示表决人同意,否则表示不同意;

4.使用一个七段数码管用以显示表决结果,通过显示P,否则显示E;另外使用两个数码管用以分别显示同意与不同意的人数;

5.使用两个拨动开关控制是否记名,是否显示表决的人数结果;

6.其他电路按需要搭建。

三.硬件系统组成框图

 

注释:set:选择是否记名

      set1:选择是否显示投票人数及比例

      xin[0:6]:表决输入,分别是七个拨动开关

      sel:输出指示是否记名投票

      sel1:输出指示是否显示投票人数及比例

      xout[0:6]:译码用数码管输出表决是否通过

      xout0[0:6]:译码用数码管输出同意的人数(set1=1)

      xout1[0:6]:译码用数码管输出不同意同意的人数(set1=1)

      xout2[0:6]:译码用七个LED输出记名结果


设计HDL源程序

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

entity biao is

port (

        set, set1: in std_logic ;       ----控制按键

        xin: in std_logic_vector ( 6 downto 0 );   ----按键输入表决

        sel,sel2: out std_logic;   -------控制指示灯

        xout,xout0,xout1,xout2 : out std_logic_vector ( 6 downto 0 )

      );   ----xout显示结果,xout0显示否决的人数,xout1赞成的人数,xout2 LED输出

end entity ;

architecture bev of biao is

begin

   process ( xin ,set,set1)

   variable j: integer :=0;

   begin

      j:=0;

   for i in 0 to 6 loop   ------统计同意的个数

    if xin(i)='1' then

      j:=j+1;

    end if;

   end loop;

if (set='1') then   -------是否记名投票

  sel<='1';

  xout2<=xin;   -------记名投票

 else

  sel<='0';

  xout2<="0000000";

end if; 

if j>3 then       ------判决是否表决通过并输出结果

xout<="0001100";   ----数码管显示P

else xout<="0000110";   -----数码管显示E

end if;

if set1='1' then   ------是否显示表决比例

   sel2<='1';      ------显示指示灯亮并且译码输出同意与不同意的数目

 case j is         ------显示赞成的人数

    when 0 =>xout1<="1000000";

    when 1 =>xout1<="1111001";

    when 2 =>xout1<="0100100";

    when 3 =>xout1<="0110000";

    when 4 =>xout1<="0011001";

    when 5 =>xout1<="0010010";

    when 6 =>xout1<="0000010";

    when 7 =>xout1<="1111000";

    when others =>xout1<="XXXXXXX";

   end case;

   

 case j is         ------显示不赞成的人数

    when 7 =>xout0<="1000000";

    when 6 =>xout0<="1111001";

    when 5 =>xout0<="0100100";

    when 4 =>xout0<="0110000";

    when 3 =>xout0<="0011001";

    when 2 =>xout0<="0010010";

    when 1 =>xout0<="0000010";

    when 0 =>xout0<="1111000";

    when others =>xout0<="XXXXXXX";

   end case;

else        ------不显示表决比例

   sel2<='0';

   xout0<="1111111";       ----不显示数字

   xout1<="1111111";

end if;       

 

   end process;

end architecture bev;

四.DE2平台仿真

1.       在Quartus中打开已经建好的工程文件;

2.       按要求选择设备并且分配管脚,重新编译,连接实验板的电源线与下载线,下载程序文件

3.       分别拨动开关sw0到sw6,看是否能够满足基本要求;在分别拨动sw16,sw17,看是否能够按要求显示表决人数结果与对应的LED灯是否正常亮与灭。

4.       调试程序直到能够完成设计的要求。

5.       最后结果:实验板启动默认可以显示表决结果为E。任意拨动4个开关后可以正确显示比例和P;拨动选择显示记名,与开关对应LED等亮起;选择显示比例,则另两个数码管可以显示双方人数比例,和一直为7。


第二篇:四人表决器的设计 课程设计报告


四人表决器的设计

设计要求

某特殊足球评委会由一位教练和三位球迷组成,对裁判员的判罚进行表决时,当满足以下条件即表示同意:

(1)有三人或三人以上同意;

(2)有两人同意,但其中一人必须是教练。

1、 方案论证与对比

1.1 方案一

1.1.1 设计电路 如图2

1.2 方案二

用2输入与非门设计,同样可根据表2的真值表作卡诺图以及它的逻辑函数表达式,这里省略没作,因为与方案一比劣势明显。该方案的电路图如下:

四人表决器的设计课程设计报告

图1 2输入与非门接线图

1

1.3 方案对比与选择

该电路的原理和方案一的区别不大,只是这个全部用的2输入与非门来实现,这个方案中其一元器件使用太过单一,而方案一中用了译码器74HC138,这就使我们更加的熟悉了译码器的适用。其二方案一也大大减少了连线的交叉程度,方案二中因为一个芯片中含有几个与非门,只用一个又太浪费,全部用连线就会有很多交叉,使得用protel布线时带来很大的困难。所以综合以上两个原因我们选择了方案一。

2、分析与设计

2、1 译码器结构分析

四人表决器即要求四个输入端,三个球迷用三线-八线的译码器,但教练的身份比较特别,为使电路简单就直接接逻辑开关[1]。

74HC138是3线-8线译码器,其功能表如表1所示。该译码器有3位二进制输入A0,A1,A2,它们共有8种状态的组合,即可译出8个输出信号输出为低电平有效。此外,还设计了E3个是能输入端,为电路功能的扩展提供了方便。由功能表可知,当E3=1,且时,译码器处于工作状态[2]。

由功能表可得:

根据各输出的逻辑表达式可以写出最初的逻辑表达式,根据学校元件库能提供的元件,对逻辑表达式化简,于是最终确定完成本次设计的所有元器件,然后画出原理图,见图1

该表清楚的表达出74HC138的逻辑功能,即三输入八输出和使能端的有效电平,同时说明了74HC138的工作状态和输出有效电平。

由74HC138译码器和几个非门,或非门和与门组成的原理图电路,接通电源就进入工作状态,通过开关导通或打开表示输入各评委的投票结果,后面通过发光二极管输出并显示投票结果。

2

表1: 74HC138译码器功能表

设逻辑A表示教练,B,C,D表示三个球迷,L表示投票结果,赞成用1表示,反对用0表示,通过用1表示,不通过用0表示,根据题意列真值表如下:

该真值表通过各个逻辑A、B、C、D的不同取值反映出输出L的各种状态。不同的输入可以得到相应的输出结果即各个表决结果。

四人表决器的设计课程设计报告

3

表2:原理图的真值表

图2:74HC138引脚图[3]

4

四人表决器的设计课程设计报告

四人表决器的设计课程设计报告

该引脚图能够帮助我们对74HC138各个引脚连接时清楚各个引脚的功能。 2、2 框图分析

四人表决器的设计课程设计报告

2、3电路图生成

根据以上分析以及它的功能表,真值表和框图分析可以设计出如下的电路图:

四人表决器的设计课程设计报告

图3:工作原理接线图

3 调试及结果

3、1 原理分析

工作过程:由主持人接通电源表示投票开始,开关S1,S2,S3,S4分别表示教 5

练A和球迷B,C,D.开关打到低电平时表示不同意,高电平表示同意。即按下开关就表示同意,不同意就不按开关。开关S1不接译码器而是直接和译码器的输出端组成与非和或非。B,C,D分别接译码器的三个输入端。能同意的状态有三种情况:(1)当B,C,D三个球迷都同意按下开关时不管教练是否同意都可以通过,输出直接接非门,因为是低电平有效[4],接非门后输出结果为高电平灯亮为同意。(2)当教练按下开关而球迷中只有两人按时,译码器输出端为有效电平(低电平),此时三线接入或非门中,再与A线一起接入与门后输出结果为高电平,此结果表示同意。(3)当教练同意前提条件下,球迷只有一人同意时也表示投票结果通过,此时译码器的输出端为有效电平(低电平),此时三线接入或非门中,与非门的输出结果为高电平再与A线一起接入与门中,结果为高电平。最后可分别把上述三种情况的输出一起接入或门中,或门的最终输出接发光二极管,灯亮的话就表示投票通过。

3、2调试及结果

所以上面的三种灯亮的情况分别是:

(1)①四人都同意:S1,S2,S3,S4都接通;②只教练不同意,球迷都同意:S1不接通,S2,S3,S4都接通。

(2)在教练同意的前提下(S1接通)球迷有两人同意:① S2,S3 接通,S4不接通;② S3,S4接通,S2不接通;③ S2,S4接通,S3不接通。

(3)在教练同意的前提下(S1接通)球迷只有一人同意:① S2接通,S3,S4不接通;② S3接通,S2,S4不接通;③ S4接通,S2,S3不接通。

用到的非门,或非门,与门等都可以通过芯片来实现。这样就大大简化了电路,也使得我们熟悉了怎么使用这些芯片。

4、总结与致谢

4.1 体会

通过这次的设计,使我们明白了四人表决器电路的基本原理,了解了74LS138,74HC04(非门),74HC02(或非门),74HC08(与门)。四块芯片的功能,也使我们明白了实际电路和设计电路的区别,有好多东西并不是我们想象的那么 6

简单,电路原理看起来很简单,但实际动手却感觉非常困难,这次设计让我们认识了这点。再者,这次设计实验让我们对理论知识有了更深刻的理解。

4.2 建议:

对这次设计实验让我们认识到我们的动手能力和思维能力不足,希望以后常开展这种设计性的实验。再次,希望下次实验老师能够多提供参考资料,多帮我们解决疑惑问题,以便更快更高质量的完成实验。

4.3 致谢

在这次设计过程中得到了很多的帮助,包括李新君老师、刘永贵老师以及钟明生老师的指导,还有同学的建议,在这里特意表示衷心的感谢。如果仅凭自己一己之力是很难完成这次设计的。还要那些查询了的网站和参考文献提供了宝贵资料,在这里一一表示感谢!

7

参考文献

[1] 康华光.《电子技术基础数字部分(第五版)》, [M].北京:高等教育出版社,20xx年.

[2] 金维香、谢玉梅.《电子测试技术》,[M].长沙:湖南大学出版社,20xx年.

[3] 杨碧石.《电子技术实训教程》,[M].北京:电子工业出版社,20xx年.

[4] 彭介华.《电子技术课程设计指导》, [M].上海:高等教育出版社,20xx年.

8

附录

附录一 用到的器材

附表1 器材清单

四人表决器的设计课程设计报告

四人表决器的设计课程设计报告

附录二 方案一中原理图

附图1 总原理图

9

附录三 PCB图

四人表决器的设计课程设计报告

附图2 原理图的布线图

10

更多相关推荐:
计算器程序设计报告

JAVA课程设计报告设计题目学院班级学号姓名计算器计算机学院胡松311090120xx214344Java课程设计报告IJava课程设计报告1需求分析1课程设计目的1复习巩固java语言的基础知识进一步加深对j...

课程设计报告——计算器

北京化工大学20xx20xx课程设计报告计算机科学与技术计算器程序的设计与实现数据结构课程设计班级计科1001北京化工大学信息科学与技术学院计算机科学与技术专业北京化工大学20xx20xx课程设计报告计算机科学...

成品计数器设计报告

1实验报告20xx至20xx学年度第1学期课程名称数字电子课程设计系别电气工程系班级电气工程及其自动化二班学号1109141117姓名吕辉指导教师王开全12233445566778899101011111212...

C++简单计算器课程设计报告

武汉理工大学专业课程设计2课程设计说明书目录1基本功能描述12设计思路13软件设计431设计步骤44结论与心得体会127附设计中的关键源代码1472测试结果15简单计算器1基本功能描述简单计算器包括双目运算和单...

计算器程序设计报告

计算器程序设计报告一设计分析编写一个计算器程序要求1界面类似于windows系统中提供的计算器界面2能实现加减乘除乘方开方等功能界面类似于windows系统中提供的计算器界面则数字的输入和功能的执行都通过按键来...

计算器设计报告

QT程序设计报告之简易计算器作者lovelycc126com我的处女作哦一需求分析当今社会是信息社会科技经济高速发展的社会为了更方便人们的工作生活和加速人们处理信息的速度计算器应运而生然而电脑已经成为当代人生活...

java计算器设计报告

摘要本计算器是由java语言所编程设计的标准计算器可以进行十进制下的四则运算加减乘除开平方求余求倒数还可以实现二进制与十进制之间的转换通过本课程设计使学生能够较全面的掌握面向对象程序设计的有关概念和开发方法以便...

java程序设计课程报告书---计算器

面向对象程序设计课程设计报告题目计算器模拟程序组成员信息任课老师成绩20xx年12月30日目录1课程设计目的31131232设计题目分析32132233课程设计内容33133244设计心得与总结75附录86小组...

JAVA课程设计----计算器小程序报告

学院JAVA语言课程设计报告小程序计算器系统管理班级姓名指导老师时间20xx年6月25日至6月29日目录1课程设计概述311利用java编写计算器使之具备一定的功能312课程设计的主要思想313该项目设计的目的...

MFC计算器编程设计报告

MFC计算器课程设计报告地信091指导老师20xx1115一题目利用MFC框架编写简易计算器要求使用MFC框架在VisualStudio60环境下编写一个简易的计算器支持任意位数的加减乘数正负转换并且实现Bac...

mfc计算器的设计报告

MFC计算器课程设计题目MFC计算器设计学院计算机学院姓名学号指导教师20xx年5月20日目录1概述311课程设计目的312课程设计内容32系统需求分析421系统目标422主体功能423开发环境43系统概要设计...

安卓计算器设计报告

科信学院通信终端创新课程设计项目说明书20xx20xx学年第二学期课程名称通信终端创新课程设计题目android计算器专业班级通信工程092学生姓名戴维松学号090312245指导教师马小进陈湘国赵欣崔冬设计周...

计算器设计报告(36篇)