五人表决器实验报告——孙小喃

时间:2024.5.13

学生实验报告

实验课名称:VHDL硬件描述语言 实验项目名称:五人表决器 专业名称:电子科学与技术 班

学级:32050801 号:05 学生姓名:孙小喃 教师姓名:程鸿亮

20xx年11月06日

五人表决器实验报告孙小喃

组别 第二组 同组同学 钞田田

实验日期20xx年11月06日 实验室名称______________成绩_____

一. 实验名称

五人表决器

二. 实验目的与要求

目的:

设计一个五人表决器,掌握异步清零以及锁存器的工作机制,掌握QuartusII软件的使用方法以及GW48型SOPC开发平台中的输入输出模式配置方法。

要求:

通过VHDL编程,实现一个五人表决器,要求有5个表决输入端、1个清零端、1个锁存端、表决结果显示端。首先在QuartusII上进行功能和时序仿真,之后通过器件及其端口配置下载程序到SOPC开发平台中。

三. 实验内容

1、打开QuartusII软件,建立一个新的工程:

1)单击菜单File\New Project Wizard?

2)输入工程的路径、工程名以及顶层实体名。

3)单击Next>按钮,进入下一个界面。由于我们建立的是一个空的项目,所以没有包含已有文件,单击Next>继续。

4) 设置我们的器件信息:设置为cyclone∏,选择FBGA,484,8,在available devices中选择EP2C35F484C8 33216 483840 70 4, 然后单击Next>,指定第三方工具。这里我们不指定第三方EDA工具,单击Next>后结束工程建立。

2、建立VHDL文件:

1) 单击File\New菜单项,选择弹出窗口中的VHDL File项,单击OK按钮以建立打开空的VHDL文件,注意此文件并没有在硬盘中保存。

2) 在编辑窗口中输入VHDL源文件并保存,注意实体名、文件名必须和建立工程时所设定的顶层实体名相同。

3) 编译工程

单击Processing\Start Compilation开始编译,编译过程中可能会显示若干出错消息,参考提示原因对程序进行修改直到编译完全成功为止。

3. 建立矢量波形文件

1) 单击File\New命令,在弹出的对话框中选择Other Files页面中的Vector

Waveform File项,打开矢量波形文件编辑窗口。

2) 双击窗口左边空白区域,打开Insert Node or Bus对话框。

3) 单击Node Finder?按钮,打开以下对话框,选择Filter下拉列表中的Pins:all,并点击List列出所有的端口,通过>>按钮把这些端口加入到右面的窗口中,单击OK完成端口的添加。

4) 回到波形编辑窗口,对所有输入端口设置输入波形,具体可以通 过左边的工具栏,或通过对信号单击鼠标右键的弹出式菜单中完成操

作,最后保存次波形文件。

4. 进行功能仿真

1) 单击Assignments\Settings?,在弹出对话框中做相关设置。在

Simulation mode设置为Functional,即功能仿真。指定仿真波形文

件后单击OK完成设置。

2) 单击Processing\Generate Functional Simulation Netlist以获得功能仿真网络表。

3) 单击Processing\Start Simulation进入仿真页面。此仿真中不

包含延迟信息。

5. 进行时序仿真

如果功能仿真无误,可进入时序仿真,时序仿真是增加了相关延迟的仿真,是最接近实际情况的仿真。

1) 单击Assignments\Settings?,在弹出对话框中做相关设置。在Simulation mode设置为Timing,即时序仿真。指定仿真波形文件后单击OK完成设置。

2) 单击Processing\Start Simulation进入仿真页面。

如果在时序上也没有问题,就可以进入下载工作了。

6. 器件的下载

1) 指定器件引脚:

单击Assignments\Assignment Editor,打开引脚分配编辑框。为每一个端口指定器件的引脚,在引脚指定过程中需要参照开发系统所给的I/O端口映射表,通过开发平台上每个I/O器件附近的I/O编号,在映射表中找到相应的引脚名,填入上图所示的对话框即可。

2) 连接下载线

通过USB-blaster下载电缆连接PC机和开发平台,如果首次使用下载电缆,此时操作系统会提示安装驱动程序,此USB设备的驱动处于QuartusII安装目录中的\drivers\usb-blaster中。

3) 单击Tool\Programmer打开下载窗口。通过对话框中的Hardware Setup按钮,选择下载设备:USB-Blaster,点击Start完成下载。

在硬件实现中,要求:

I用实验平台的拨动开关实现5人表决的输入信号(v_in),要求使用最右面5个开关

II用实验平台的按键实现清零(clr)和锁存(lock)信号,采用模式4的输入方式,要求使用键7实现清零(clr)、键6实现锁存(lock)。

III用实验平台的数码管实现赞成和反对人数的显示,要求使用数码管6显示赞成票数、数码管5显示反对票数。

IV用实验平台的LED发光阵列实现表决结果和每人的表决信号。要求LED1显示判决赞成(led_agr)信号,LED8显示判决反对(led_opp)信号。LED3、LED4、LED5同时显示判决结束信号。LED10、LED11、LED12、LED13、LED14显示5人的表决信号。

当系统启动后,数码管5、6分别显示“FF”字样,所有用到的数码管熄灭,表决开始,用户此时可以通过拨动开关输入5人的表决意见,并通过LED10~LED14分别显示出来,当用户按下lock按键(按键6)后,LED10~LED14的状态被锁存,LED3、LED4、LED5同时点亮,此时无论如何输入表决意见LED10~LED14的状态都不会改变,同时系统会计算出来赞成的人数和反对的人数,并分别用数

码管5、6显示出来,而且系统会计算出来赞成的人数和反对的人数的大小关系,并通过LED1和LED8显示出来最终判决的表决结果(判决赞成:LED1亮;判决反对:LED8亮)。此时用户按下清除键clr(按键7)后,系统又恢复成为刚启动状态。

四. 实验条件

1. WindowsXP操作系统

2. QuartusII EDA开发系统

3. 杭州康芯SOPC硬件开发平台

五.实验原理

通过VHDL编程,实现一个五人表决器,要求有

5个表决输入端、1个清零端、1个锁存端、表决结果

显示端,具体接口说明如下图所示。

v_in:表决信号输入端,高电平为赞成,低电

平为反对;

lock:判决锁存信号,上升沿表决结束,锁存表

决输入信号,并计算输出表决信息;

clr:清零信号,高电平有效,进入新的一次表

决过程;

v_over:表决结束信号,高电平有效,清零信号

有效后,此信号为低电平。

num_agr:BCD码输出显示表决结果中赞成的人数;

num_opp:BCD码输出显示表决结果中反对的人数;

v_out:对应显示每个表决信号的状态;

led_agr:判决结果为赞成时有效;

led_opp:判决结果为反对时有效;

首先在QuartusII上进行功能和时序仿真,之后通过器件及其端口配置下载程序到SOPC开发平台中

六. 源代码

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

entity vote5 is

port(v_in:in std_logic_vector(4 downto 0);

lock,clr:in std_logic;

v_over:out std_logic_vector(2 downto 0);

num_agr,num_opp:out std_logic_vector(3 downto 0);

v_out:out std_logic_vector(4 downto 0);

五人表决器实验报告孙小喃

led_agr,led_opp:out std_logic);

end entity vote5;

architecture one of vote5 is

begin

process(clr,v_in,lock)

begin

variable agr,opp: std_logic_vector(3 downto 0); begin

if(clr='1')then

led_agr<='0';

led_opp<='0';

agr:="0000";

opp:="0000";

if agr="0000" then

num_agr<="0000";

end if;

if opp="0000"then

num_opp<="0000";

end if;

v_out<="00000";

v_over<="000";

elsif(lock'event and lock='1')then

v_out<=v_in;

v_over<="111";

agr:="0000";

opp:="0000";

for i in 0 to 4 loop

if (v_in(i)='0') then

opp:=opp+1;

end if;

agr:=5-opp;

end loop;

num_agr<=agr;

num_opp<=opp;

if(agr>opp)then

led_agr<='1';

led_opp<='0';

else

led_agr<='0';

led_opp<='1';

end if;

end if;

end process;

end architecture one;

五. 实验结果与分析 1功能仿真波形

2时序仿真波形

五人表决器实验报告孙小喃

五人表决器实验报告孙小喃

3代码下载后的部分实例

说明:初始状态中,分别显示同意和反对票数的数码管6和数码管输出“FF”,表示可以进行新的一次表决操作。此时拨动开关有两个处于高电平(同意),LED9、LED10对应被点亮。

说明:锁存按键被按下后,分别显示同意和反对票数的数码管6和数码管5输出“32”,表示有3人同意,2人反对,LED8被点亮表示表决结果为“反对”。并且此时在对拨动开关进行控制也不会改变表决状态。同时LED1、LED4~LED6、LED12、13、15同时点亮表示此次表决结束。

五人表决器实验报告孙小喃

五人表决器实验报告孙小喃

说明:锁存按键再次被按下后,分别显示同意和反对票数的数码管6和数码管5输出“32”,表示有3人同意,2人反对,LED1被点亮表示表决结果为“同意”。并且此时在对拨动开关进行控制也不会改变表决状态。同时LED4~LED6同时点亮表示此次表决结束。

七.讨论和回答问题及体会:

1.在实验之要了解各引脚的作用,熟悉五人表决器的功能,然后进行程序的编写。

2. 对五人表决器编译下载时,注意采用模式0的输入方式。引脚指定过程中参照了开发系统所给的I/O端口映射表,找到开发平台上每个I/O器件附近的I/O编号,在映射表中找到相应的引脚名,仔细正确定义引脚,得出显示结果。

3.通过使用VHDL对五人选择器的编写可以更加深刻的了解其功能。在编写过程中对VHDL语言程序得到了加深和熟悉,巩固了所学的知识

五人表决器实验报告孙小喃


第二篇:五人足球表决器


湖南人文科技学院

课程设计报告

课程名称: 电子技术课程设计

设计题目          五人足球表决器            

          别:         通信与控制工程系           

    业:           电子信息工程            

    级:            09级电信一班          

学生姓名:      胡九有    沈赞   袁义兵       

   :     09409125 09409133  09409135   

起止日期:  20##65~2011626  

指导教师:        苏芙华      侯海良          

教研室主任:           侯海良                 

摘  要

本足球表决器的主体部分为译码器和组合逻辑电路。整个表决器的工作过程首先将五位评委的开关信号(是否同意)进行分组译码,一路信号对译码的结果通过组合逻辑电路输出表决结果,表决的结果是否通过用红绿LED灯显示,蜂鸣器输入表决信号,并只在判罚结果通过时发出提示声音。另一路在译码器对评委表决信号译码后,其编译的信号经过组合逻辑电路,实现其表决功能。经测试,设计的电路能够完成相应的功能。

关键词:表决器、组合电路、电源

目  录

1.方案论证与对比............................................................ 5

1.1方案一................................................................. 5

1.2方案二................................................................. 6

1.3方案对比与选择......................................................... 7

2.系统设计.................................................................. 7

3.系统测试.................................................................. 8

3.1主要电路测试........................................................... 8

3.2安装调试小结.......................................................... 10

4.结论及心得:............................................................. 10

5.参考文献:............................................................... 11

6.致谢:................................................................... 12

7材料清单.................................................................. 13

五人足球表决器

设计要求

(1)    某足球评委1位领导,2位专家,2位观众组成,现在要对裁判员得判罚进行表决,当有3人或3人以上同意时裁判员判罚有效,若只有两人同意,但其中有一位专家或领导同意时,裁判员判罚也有效。

(2)    裁判员判罚有效是绿灯亮,且发出声音,裁判员判罚无效是红灯亮,不发声

1方案论证与对比

1.1方案一

根据设计要求列出真值表,列出五变量卡诺图和函数表达式,根据表达式使用组合逻辑门电路,使用74ls04非门、74ls08与门、74ls86或门和74ls54或非门实现,此方案原理简单,运用范围广,适合于我们一般的习惯。但此种方案最后接到电路线路和芯片众多,结构复杂,价格表较贵且PCB板布线困难。原理图:如图1  所示。

图1  组合逻辑门电路图

 

1.2方案二

足球裁判共有5名,因而有32种输出结果。因而想到用译码器实现五输入三十二输出电路,再结合组合逻辑电路实现设计所要求。本方案原理清晰明了,采用74ls139、74ls138译码器及组合逻辑电路结合方案,在电路上较方案一有一定得创新,运用灵活。在工作量上较方案一要小且可省下数块芯片,较为经济。实验原理图如图2 所示

图2  译码器电路原理图

1.3方案对比与选择

方案一较第二种方案而言,此方案原理简单,运用范围广,适合于我们一般的习惯。但此种方案最后接到电路线路和芯片众多,结构复杂,价格表较贵且PCB板布线困难。方案二较第一种方案而言,原理清晰明了,采用74ls139、74ls138译码器及组合逻辑电路结合方案,在电路上较方案一有一定得创新,运用灵活。在工作量上较方案一要小且可省下数块芯片,较为经济。经我们讨论,我们选择方案二来实现设计要求。

2系统设计

此表决器是接通电源后,评委按钮即为输入信号,在经过74ls139 以及74ls138译码器芯片和逻辑电路分组处理后,转入组合逻辑门电路部分,最后通过红绿LED灯显示并将信号传入蜂鸣器,从而进行表决输出。

设领导为A,专家为B.C,观众为D.E,绿灯L1,红灯L2,根据设计要求画出真值表如下表1:

表1  真值表

根据真值表得卡诺图表2  如下所示:

表2  卡诺图

在卡诺图上画出包围圈,得到表达式,再将表达式化为最小项之和进而得到  

用译码器实现五输入三十二输出电路,需要使用一片74ls139以及四片74ls138译码器芯片的组合(但第四片74ls138译码器芯片没有输出,故可省略),由表达式画出电路原理图,电路原理图见图2。

3系统测试

3.1主要电路测试

根据我们自行设计的原理图,在仿真软件中,测得的结果如下记录表如下:表3

表3  测试结果记录表

结果分析:根据上表所调试的结果,我们设计的电路达到了课题中所要求的设计目的。

电路PCB图 如图3  所示

图3  PCB电路原理图

3.2安装调试小结

在调试的过程中。我们板子上出现的结果始终是绿灯亮,且蜂鸣器发声。我们特别检查了引脚是否有虚焊或者电路的连接上是不是有接触不良的现象,每根连接线我们都一一核对。经我们的仔细检查,我们发现74LS138不能正常译码,译码的结果都是表示全部通过的结果,分析总结知道可能是电路原因导致74LS138芯片没有正常工作。导致最后的结果并不能在电路板上调试出来。

4结论及思考

这次课程设计收获真不少,从选题到定稿,从理论到实践,在这么多天的日子里,可以说是苦多与甜,这次对足球评委会表决器的设计和制作,让我们真正意义上了解了设计电路的程序。了解了有关表决器的原理和设计理念,原理—仿真---PCD---制板—焊接—调试。从理论到实践,到理论和实践 的结合。

这次的课程设计让我们看到了每一个过程都不是那么的容易,只有将理论和实物真正的结合起来才能得到更简的设计和连接电路。才能减少工作量。这次课程设计,确实让我们学到了很多东西。我们深深的感受到了自己所学到的知识是有限的,明白了只学好课本上的知识是不够的,我们必须通过图书馆和互联网等各种渠道来扩充自己的知识和见识,通过这次课程设计,我懂得了理论和实际相结合的重要性,只有理论知识是远远不够的,只有把所学的理论与实践相结合起来,从理论中得出的结论,才能真正提高自己的实际动手能力和独立思考的能力,在设计的过程中遇到很多问题,这毕竟是第一次,难免会遇到各种各样的问题。重要的是在设计的过程中发现了自己的各种不足之处。学会了将理论和实验教学基础上进一步巩固已学基本理论及应用知识,并加以综合提高,学会了将知识应用与实际的方法,提高分析和解决问题的能力。

同时在这次的课程设计中,我们对那个足球评委表决器有了深度的了解,五人表决器和三人表决器在原理上市相同的,但从设计和布线的困难程度上来说却是天壤之别,就拿PCB板这块来说。我们就遇到了好多的问题,不懂得就问老师,上网通过查找资料,3天2夜的努力,终于让我们看到了成绩,这次课程设计中,我也了解到了团队的重要性,个人离不开团队,团队也离不开个体,我们组的成员始终在一起,我们互相讨论互相合作,使得我们的设计合作很愉快,体会到了合作的力量,感受到了1+1+1>3。完成这个次课程设计我们真的很辛苦,但苦中有乐,课程设计这么多天来,我们不仅巩固了以前学过的东西,而且也学到了很多在书本上所学不到的知识。

我们想说,所有的这些心得会对我们以后所学习和工作都会有帮助,衷心感谢老师在实验过程中给我们的教导,衷心感谢学校给我们提供这次实验的机会,这次的课程设计将成为我们人生中美好的一段回忆。

5参考文献

【1】康华光,电子技术基础 数字部分(第五版)  高等教育出版社       2006.1

【2】康华光,电子技术基础 模拟部分(第五版)  高等教育出版社       2006.1

6致谢

这次课程设计的完成,首先要感谢我们的指导老师,指导老师对该课题从选题,构思到最后定稿的各个环节给予细心指引与教导,指导老师们的严肃的科学态度,严谨的治学精神,精益求精的工作作风,深深感染和鼓励着我们,从课题的选择到项目的最终完成,老师们都始终给予我们细心的指导和不断的支持。在课程设计的过程中,我们遇到了很多原理上还不了解的问题,是老师们给了我们宝贵的意见,在画PCB板。切板、制板和焊接的过程中。老师给我们指出了布线的规则,焊接要注意不要有虚焊和许多在制板中应该注意的地方,在最后调试的过程中,我们遇到了不能正常调试的情况,是老师们在旁边精心的点拨。正是由于指导老师们的帮助和支持,我们才能克服一个又一个困难,直至本课程设计顺利完场,在此,谨向老师们致以衷心的感谢和崇高的敬意!

最后,再次对关心帮助我们的老师和同学们表示衷心的感谢。并要向百忙中抽时间对本文进行审阅。评议的各位老师表示感谢。

 

7材料清单

表4 材料清单表

更多相关推荐:
三人表决器实验报告

专业班姓名鲍旭良指导老师曾西洋实验日期20xx年6月实验名称三人表决器第1页第2页第3页第4页

三人表决器实验报告

技能实训三人表决器的逻辑电路设计与制作姓名班级日期课时成绩一实训目标1增强专业意识培养良好的职业道德和职业习惯2能借助资料读懂集成电路的型号明确引脚与引脚功能3了解数字集成电路的检测二实训设备1数字实验箱2万用...

三人表决器实验报告

电子技术与仿真项目报告项目名称三人表决器的制作专业班级电气121姓名刘斌学号24指导教师张琴江西工业工程职业技术学院电工电子实训中心电子技术与仿真一项目目的正确理解数字电路的要求能知道与门或门非门的含义做到了解...

三人表决器报告

项目一三人表决器的设计与装配实验报告姓名学号专业指导教师实训时间数字电子技术9月26日电子信息工程系20xx20xx学年第一学期设计题目项目一三人表决权器的设计与装配一设计要求1对电路图的原理进行分析并对原理图...

FPGA_三人投票表决器实验报告

实验报告一实验名称三人投票表决器的实现二实验目的1234熟练掌握QUARTUSII的操作方法了解VHDL程序书写基本语法规则熟悉原理图绘制的方法学会波形仿真验证结果三实验原理设开关状态01代表三人投票情况s1s...

七人表决器设计设计报告

七人表决器设计一设计要求1能够完成七人表决的功能并且直观的显示结果决议通过显示字母P否则显示字母E2能够选择显示表决双方的人数有控制开关与显示指示灯并且能够实时的显示反对与赞成的人数3能够选择是否记名并有指示灯...

EDA实验_七人表决器

河北科技大学实验报告20xx级电信专业122班学号3815年5月20日姓名郑振兴同组人指导教师于国庆实验名称实验一七人表决器成绩实验类型设计型批阅教师一实验目的1掌握MUXPLUSII语言输入的设计过程2初步了...

抢答器实验报告

三性实验报告册学专班报告人学实验时间提交时间实验目的1掌握组合逻辑电路的设计与测试方法熟悉常用数字集成电路的使用2掌握数字逻辑电路的设计方法训练学生综合运用数字电路基本知识设计调试电路的能力设计任务设计一个四人...

电子信息工程-8路抢答器实验报告

课程设计报告8路抢答器班级姓名学号指导教师目录一任务与要求21基本功能22扩展功能3二8路抢答器工作原理31电源部分32抢答器部分43定时部分54时序控制报警部分65计分部分7三系统总原理图9四收获体会9一任务...

实验四_三人抢答器控制

实验四三人抢答器控制实验本实验为简单设计性实验一实验目的1掌握CP1HPLC控制系统梯形图设计的一般方法2熟悉CXProgrammer软件的应用二实验设备1CP1HPLC实验箱一台2PC机一台三实验内容IO分配...

三人抢答器模拟实验报告

三人抢答器模拟实验报告一目的与要求1目的1培养学生综合利用MCS51单片机的软硬件知识进行程序设计的能力解决一定实际问题2进一步加深对MCS51单片机的内部结构和程序设计方法的理解3提高学生建立程序文档归纳总结...

数字电路3人抢答器实验报告

数字电路与逻辑课程设计报告本科题目三人抢答器设计专业网络工程班级1305022学号11姓名牟黎明评定成绩指导教师李小平易兴兵完成时间20xx年6月1日20xx年6月5日电子工程学院二零一五年五月1一实习目的1数...

三人表决器实验报告(8篇)