实验4:同步计数器及其应用实验报告

时间:2024.4.20

实验4:同步计数器及其应用实验报告

一、       实验目的

1、了解可编程数字系统设计的流程

2、掌握Quartus II 软件的使用方法

3、掌握原理图输入方式设计数字系统的方法和流程

4、掌握74LS161同步16进制计数器的特点及其应用

二、     实验设备

1、计算机:Quartus II 软件

2、Altera DE0 多媒体开发平台

3、集成电路: 74LS10

4、集成电路:74LS161

三、     实验内容

1、 74LS161逻辑功能的测试

2、用74LS161实现12进制计数(异步清零)

3、用74LS161实现12进制计数(同步置数)

四、     实验原理

74LS161

1、 74LS161:异步清零、同步置数四位二进制计数器

2、引脚的定义:

              使用74161实现16进制和12进制

1)        首先使用quartus软件建立原理图,首先实现16进制,所以只需要将需要的输入输出接到相应的引脚上,其中需要注意的是我们需要让这个板子开始工作,所以需要将T和P引脚接响应的高电压,然后将cp信号接入相应的输入;q0q1q2q3接到相应的输出就可以了,然后编译。现在在建立波形文件完成仿真,通过仿真结果就可以看到自己的电路是否正确。最后一步就是实现在FPGA上的应用,我们需要做的就是给原来的 原理图分配相应的引脚,然后重新编译后,插入线就可以看到仿真结果了。

2)        12进制可以采取两种方式,也就是同步置数和异步清零两种方式,我使用的异步清零,从而只需要对q0q1q2q3在12的时候执行清零的动作就可以了,也就是加一个而输入的与非门就可以了。

五、     实验结果


第二篇:实验4-加减计数器实验报告


上 海 理 工 大 学

计 算 机 工 程 学 院

实 验 报 告

实验目的

1 掌握加减计数器以及特殊功能计数器的设计原理。

2.用VHDL语言设计多功能计数器。

实验原理:

计数分同步计数器和异步计数器。

1 加减工作原理

          加减计数也称可逆计数器,就是根据计数控制信号的不同,在时钟脉冲的作用下,计数器可以进行加1计数操作或者减1计数操作。

2 变步长工作原理

         如步长为3的加法计数器,计数状态变化为0 。3。6 。9。12……,步长值由输入端控制。在加法计数时,当计数值达到或超过99时,在计数器下一个时钟脉冲过后,计数器也。

实验内容:

     1 设计的计数步长可在0~79之间变化

     2.通过仿真或观察波形图验证设计的正确性。

     3 编译下载验证结果。

设计提示

1.  注意IF语句的嵌套。

2.  注意加减计数的变化,计数值由9变0(加法)及由0变9(减法)各位的变化。由于计数器为十进制计数器,还应考虑进位或借位后进行加6及减6校正。

实验步骤:

(1)       代码:

        LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITY count is                                           ――实体

PORT(clk,clr,updn:in STD_LOGIC;                               ――端口

             length:in STD_LOGIC_VECTOR(7 downto 0);

                S:out STD_LOGIC_VECTOR(7 downto 0)

                    );

 

END count;

ARCHITECTURE countB of count is                              ――结构体

SIGNAL  C_count: STD_LOGIC_VECTOR(7 downto 0);              ――信号量

begin

S<= C_count;                                               

PROCESS (clk,clr,length,updn)

VARIABLE temp:STD_LOGIC_VECTOR(8 downto 0);                    ――变量

BEGIN

  if(clr='1') then

    C_count<=(others=>'0');

     elsif(clk' EVENT and clk='1') then

          if(updn='1') then

            temp:=C_count+length;

              if(temp>"011111111") then

                C_count<=( others=>'0');

              else

                C_count<=C_count+length;

              end if;

         else

           if(temp<length) then

             C_count<=(others=>'0');

           else

            C_count<=C_count-length;

           end if;

         end if;

       end if;

end process;

end countB;

2 仿真截图

上述仿真数据:updn-----判断做加法还是减法

              Clk――时钟

              Clr――清零

 Length(7 downto 0)----步长

      S (7 downto 0)――输出

实验小结:

         这次步长可变的加减计数器需要将二进制数转换成BCD码,代码编了可是没有运行成功。实验过程中的硬件下载出错,没有实现下载结果。不过通过这次实验,可以深刻理解计数器的做法。

更多相关推荐:
数电实验报告:计数器及其应用

数字电子技术实验报告实验四计数器及其应用一实验目的1熟悉常用中规模计数器的逻辑功能2掌握二进制计数器和十进制计数器的工作原理和使用方法二实验设备1数字电路实验箱274LS90三实验原理1计数是一种最简单基本运算...

计数器的应用——实验报告

计数器的应用20xx0810410计科四班阚琛琛实验内容1测试74LS90的逻辑功能2用模拟示波器测试74LS90的输入出波形图3用两个74LS90级联出24进制计数器实验器材74LS90两片74LS00一片模...

电子技术实验报告7-计数器及其应用

学生实验报告

数字电路实验报告 计数器的逻辑功能及应用

数字电路实验报告计数器逻辑功能及其应用一实验目的1熟悉中等规模集成电路计数器74LS160的逻辑功能使用方法及应用2掌握构成任意进制计数器的方法二实验设备及器件1数字逻辑电路实验板1片274HC160同步加法二...

西工大数电实验报告——计数器及其应用

计数器及其应用班级03051001班学号姓名同组成员一实验目的1熟悉常用中规模计数器的逻辑功能2掌握二进制计数器和十进制计数器的工作原理和使用方法3运用集成计数器构成1N分频器二实验设备数字电路试验箱函数信号发...

数字逻辑实验报告:计数器及其应用

安徽师范大学专业名称实验室实验课程实验名称姓名学号同组人员实验日期软件工程数字逻辑计数器及其应用20xx63

实验六 计数器及其应用

信息工程学院数字逻辑与数字系统实验实习报告学院信息工程学院班级信息111姓名朱伟定学号20xx013259成绩A实验六计数器及其应用一实验目的1学习集成触发器构成计数器的方法2掌握中规模集成计数器的使用方法及功...

电子科技大学中山学院 实验七 计数器及其应用

学生实验报告

实验九任意模值计数器的设计与应用实验报告

实验九任意模值计数器的设计与应用班级姓名学号实验台实验日期一实验目的1掌握清零法和置数法设计任意模值计数器的原理和设计方法2学会用74160设计任意模值计数器二实验仪器1仪器EDA实验箱和电脑QuartusII...

实验八 计数器及其应用

实验八计数器及其应用一实验目的1熟悉中规模集成计数器的逻辑功能及使用方法2掌握用74LS16074LS161构成任意进制计数器的方法3熟悉中规模集成计数器各输出波形及应用4学习用集成触发器构成计数器的方法二实验...

实验4 计数器及应用

一实验目的实验4计数器及应用1学习用集成触发器构成计数器的方法2掌握中规模集成计数器的使用及功能测试方法3运用集成计数计构成1N分频器二实验原理计数器是一个用以实现计数功能的时序部件它不仅可用来计脉冲数还常用作...

实验三异步计数器及其应用实验报告

异步计数器及其应用实验报告一实验目的1掌握计数器74LS90的逻辑功能和使用方法2掌握用74LS90实现可变模数Mlt10计数器的方法3掌握七段译码器和数码管的逻辑功能及其应用二实验设备1数字电路实验箱2数字双...

计数器及其应用实验报告(27篇)