电工电子创新实验-四路抢答器

时间:2024.4.25

一、设计题目

四路抢答器设计

二、技术指导和技术要求:

用集成芯片设计并制作简易四路抢答器,具体要求如下:

1.每个参加者控制一个按钮,用按动按钮发出抢答信号;

2.抢答组数分为四组,即序号A、B、C、D,对应数字编码1、2、3、4,优先抢答者按动本组序号开关,该组对应数字编码立即锁存到LED显示器上,同时封锁其他组号,并有蜂鸣器声音提示。此后其他3人再按动按钮对电路不起作用;

3.竞赛主持人另有一个按钮,用于将电路复位。

三、选择总体方案,确定电路

1、提出电路的方案

方案(1):

如图1所示为总体方框图。其工作原理为:通电源后,抢答器处于工作状态,编号显示器灯灭,主持人宣布“开始”,选手开始抢答,抢答器完成:优先判断、编号锁存、编号显示。当一轮抢答之后,禁止二次抢答。如果再次抢答必须由主持人操作“复位”开关。

图1 电路原理框图

方案(2):

下面是用74LS175、74LS00、74LS20得到的4路抢答器的电路,如图2。

这个电路只是通过发光二极管来显示其结果,没有达到按照选手的号码来显示数字,并且其电路抢答没有声音,所要求集成块个数跟方案(1)相当,但实现功能稍逊方案(1)。

2、方案的选择与论证

   比较方案(1)和方案(2),发现方案(1)需要元器件数量稍微多一点,但所需元件都是比较简易,电路不是很复杂,并且所显示的效果比方案(2)要多,达到了实验的要求。而方案(2)不能有效达到设计指标(没有声音、无数字显示),所以选择方案(1)。

图2 方案(2)电路图

四、单元电路推导和电路元器件参数计算

(1) 优先锁存、门控电路:

CD4511具有锁存、译码、消隐功能,通常以反相器作输出级,通常用以驱

动LED,其引脚图和功能表如图3。

图3  CD4511的引脚图和功能表

    门控电路用来实现允许抢答和清零复位的功能,由一个开关R连入电路实现。分析CD4511的功能表可知,给CD4511的端口LE加高电平就能实现这一锁存功能。在抢答的准备阶段,主持人按下开关R后,CD4001 RS触发器输出端4号端口输出低电平,即CD4511的LE端口为低电平,4511处于消隐工作状态,LED数码管不显示;当第一个在抢答键按下时,5V电源通过二极管D3、D4、D5或者D6加至CD4001RS触发器S端,即1号脚输入高电平,其输出端4号脚变为高电平输出,即给LE一个高电平,锁存此时的编号,同时数码管显示相应的编号。观察CD4511的逻辑真值表发现,数码管显示“0”时与数码管显示“1”、“2”、“3”、“4”时,CD4511的输出端e、f有不同的特性,显“0”时给LE端一个低电平,显“1”、“2”、“3”、“4”时给LE端一个高电平。

(2)抢答编码电路:

A、B、C、D组成1~4路抢答器,任一抢答键按下都需编成BCD码,将高电平加到CD4511的A、B、C、D、4个BCD码输入端。分析CD4511的逻辑功能表,要在数码管上显示的4个十进制编号“1”、“2”、“3”、“4”所对应输入的BCD码应为“0001”、“0010”、“0011”、“0100”,4个二进制位从左到右分别对应CD4511的D、C、B、A4个编码输入端。显示“1”、“2”、“4”比较容易实现,只需要通过按键接通电源直接将高电平加到相应的端口A、B、C。而显示“3”则在A、B端口加高电平,这通过编码二极管D1、D2来实现,按键同时接在这两个二级管的正极,二极管的负极分别接在CD4511的A、B端,只要按键接通电源就可同时给两个端口加高电平,以实现编码。按下第几号抢答键,输入的BCD码就是键的号码并自动由CD4511内部电路译码为十进制数在数码管上显示出来。抢答编码连接电路图如下图4所示:

图4 抢答编码连接电路图

(3)译码电路:

    由于需要用LED数码管显示抢答的相应编号,选用常见的BCD----七段锁存/译码/驱动集成电路CD4511。其工作的逻辑真值表如表1所示。

表1 CD4511逻辑真值功能表

将CD 4511的七段译码输出端A、B、C、D、E、F、G分别与数码管的7个端口连接,由于CD4511输出端的电压为5V,而数码管的前向导通电压和开门电压分别为1.66V和5V,这时CD4511与数码管连接时中间需要加限流电阻R7~R13=668Ω,限流电阻需要小于这个阻值,这里取330Ω。连接后的译码、数显电流如下图5所示。

图5 抢答译码连接电路图

(4)声响显示电路:

在CD4511的4、5端,用集成555定时器构成多谐振荡器,连接一个音频多谐振荡电路,并联一个喇叭,组成音频多谐振荡器,使抢答成功后的提示在LED显示及声音显示。如下图6:

     

图6 蜂鸣器、LED数码管显示图

五、总体电路图与电路工作原理说明

电路如图7所示。该电路完成两个功能:一是实现优先抢答的锁存、译码输出驱动LED数码管显示先抢答者的号码,同时四路抢答器发出响声,其他选手再抢答视为无效抢答;二是主持人通过“复位”按钮清除LED数码管的显示和停止响声。工作过程:抢答前,主持人按下“复位”R按钮后,RS触发器的 Q端输出为低电平,即CD4511端4号引脚接低电平,所以CD4511 处于消隐工作状态,a-g 全为 0,即LED 数码管不显示,多谐振荡器不产生振荡,蜂鸣器不响。开始抢答时,第一个抢答者按下按钮后,输入的高电平经4-3线编码电路编成对应的 8421BCD 码,然后输入到 CD4511 中,与此同时,CD4001RS触发器的S输入端1号脚输入高电平后,其输出端4号脚变为高电平,即CD4511的LE锁存控制端接高电平,所以CD4511 处于锁存工作状态。此时CD4511输入对应的译码输出将锁存起来,根据上述4-3线编码器功能真值表和CD4511真值表,即可查到此时候LED数码管显示值(高电平记为“1”,低电平记为“0”)。此时,数码管保持显示第一个抢答者的号码,同时多谐振荡器开始产生振荡,蜂鸣器发出响声。上电复位后的时刻,CD4001 的6号脚瞬时输入高电平,4号脚输出低电平,使LED数码管不亮,多谐振荡器停止振荡,蜂鸣器不响。集成器件 CD4511 的 A、B、C 输入端分别通过R4、R3、R1接“地”(低电平)。

图7  总体电路原理图

六、元器件和实验设备清单

表2

七、电路的组装、调试、功能验证 

1、测试触发器及各逻辑门电路的逻辑功能,判断器件的好坏。

⑴ 测试锁存功能:按原理图图7连接好CD4511逻辑门电路部分,准备测量CD4511的各个引脚的电位值,将其与上述 图3 CD4511功能图相对应,高电平即为“1”,低电平即为“0”。经测试发现CD4511功能正常。测试结果如下表所示(表中数值单位为“伏”):

表3 CD4511 管脚电位测试结果

   ⑵ 测试CD4001四2输入或非门功能:按电路原理图7,测试CD4001 各个引脚电位,高电位为“1”,低电位为“0”,观察结果是否符合逻辑表达式,其中(1)A=1号引脚,B=2号引脚,Y=3号引脚;(2)A=5号引脚,B=6号引脚,C=4号引脚。若符合逻辑表达式,则对应CD4001完好,测试发现器件正常。测试各个引脚电位结果如下表所示(表中数值单位为“伏”):

表4

    ⑶ 测试NE555定时器组成的多谐振荡器:按原理图中图7连接好电路,蜂鸣器输出端接入示波器,观察其输出波形,若未抢答时候波形为正弦波,抢答成功后输出波形为方波,则多谐振荡电路正常。经测试发现,元器件正常。

 

图8 正弦波与方波

2、按原理图连接好四部分内容,检查连线无误后打开电源开关,测试抢答器的功能。

抢答开始,按下A、B、 C、D某一按钮,观察对应LED显示器,是否显示相应得数字,按A开关时候,显示数字1,B显示数字2,C显示数字3,D显示数字4 ,并且蜂鸣器发出持续的声响;按下R复位开关时候,LED数码管不显示数字,蜂鸣器声响停止。若LED显示器显示对应的开关号,并且按下其抢答开关,电路工作状态保持不变,则说明整个电路系统正常;否则就说明电路有问题,应仔细检查各部分连线是否正常。同时检查蜂鸣器和显示器是否按照规定方案蜂鸣和显示。如果都正常则实验成功。

八、测试结果分析

经检查,各部分连线无误,各部分间的连线都正确,每个元件都正常。

检测抢答器的最终功能,先将抢答器接到5V直流电源上,按钮A置“1”,LED显示1,蜂鸣器发出声响,按下其他抢答按钮不起作用;再次将复位按钮按下,LED无显示,蜂鸣器停止发出声响。

其测试项目如下表所示:

表5


九、遇到的问题及解决

1.实验中出现的问题是电路稍微复杂,焊接该电路所需要的导线需要很多;再者在焊接电路过程中必须确保每一步焊接的电路正确,是电路搭接美观实用;最后是通过使用万用表来检测焊接电路的正确性。

2.焊接电路得保证焊点没有虚焊,为此我们焊接速度比较慢,谨慎焊接,保证每个焊点都没有虚焊。

3.在用示波器检测蜂鸣器输出端信号时候,发现信号残缺,后经过排故发现有一根导线脱焊,为此我们小组同学又重新焊接。后来再测试发现信号没有残缺。

十、设计电路的特点和改进

    (1) 可靠性:整个实验电路分为四个部分,在实验的过程中,每一部分都经过了仔细的检测,各部分功能完好;通过焊接搭接将各部分按电路图连接成完整的抢答器电路,经过检测,连接无误,最终实现抢答器的功能,保证了抢答器电路的可靠性。

    (2) 易测性:抢答器电路由四部分构成,在实验的过程中,依次完成每部分,如果出现什么问题,可以随时检测该部分的功能或连线是否出现故障。

(3)实验改进:由于实验需要焊接的电线很多,以至我们在检查电路时太浪费时间而且也不方便,因此我们有必要使用印制的电路板,这样所使用的连线就会少一些。测试时候只需要把相应元器件正确安装在相应位置上即可。这样我们在使用时也会变得更方便,出现问题或故障时能很快找出问题的所在。印制的电路板如下图所示:

图9 四路抢答器印制电路板图

十一、收获与体会

这次的实验是李悦星同学为组长,负责四路抢答器方案筛选、元器件的购买、焊接电路的检查、电路测试及实验报告书写整理工作;刘宝存同学负责焊接电路、检查电路、测试电路及部分实验报告书写工作;夏振龙同学负责部分电路焊接、实验报告检查、焊接电路检查等工作;尤旗同学负责电路检查、元器件性能测试等工作;程颐同学负责陪同组长购买元器件、实验报告书写及检查等工作。在我们5人的分工合作之下完成了这次实验,通过这次实验我们收获颇多:

1.和组员拿着选定的题目觉得很简单。脑海中马上就出现了几个设计思路,但在设计的几天里才发现设计并不是那么简单。静下心来,仔细分析题目,再加上指导老师的说明与提示,心中才有了谱。将整个系统根据不同的功能化分成模块,再分别进行设计,逐个攻破,最后再将其整合。

2.在设计过程中,既有用过的芯片,又有没用过的,只能自己查表,分析功能,即学即用,印象很深刻。

3.既巩固了课堂上学到的理论知识,又掌握了常用集成电路芯片的使用。在此基础上学习了数字系统设计的基本思想和方法,学会了科学地分析实际问题,通过查资料、分析资料及请教老师和同学等多种途径,独立解决问题。同时,也培养了我们认真严谨的工作作风。

十二、参考文献:

[1]《电工技术与电子技术实验》夏桂书 著,中国民航飞行学院  2003.3

[2]《电工学》秦曾煌 著,高等教育出版社 2009.6

[3]《制作天地》韦穗林 著,广西水利水电职业技术学院  2010.1


第二篇:四人抢答器电路设计


广东石油化工学院

课程设计说明书

 

课程名称:   数字电子技术课程设计   

      

题    目:    抢答器电路设计        

学生姓名:            

专    业:          

班    级:          

学    号:      

指导教师:    李新超        

日    期: 2010  6 30


茂 名 学 院

 

一、 设计题目

抢答器电路设计

二、 主要内容及要求

(1)可容纳四组参赛的数字式抢答器。

(2)当某台参赛者按下抢答开关时,由数码管显示该台编号并伴有声响。此时,抢答器不再接收其他输入信号。

(3)电路具有定时功能。要求回答问题的时间≤60秒(显示为00~59),时间显示采用倒计时方式。当达到限定时间时,发出声响提示。

(4)具有计分功能。要求能设定初始分值,能进行加减分。

(5)在复位状态下台号数码管不作任何显示(灭灯)。

三、 进度安排

十七周星期一接收任务书。

十七周星期二开始上网收集资料。

十七周星期三开始进行设计,先分段把各个重要部分用protuse仿真软件。

十八周星期六进行整体设计,将设计好的各个部分连接起来进行整体电路的仿真。

十八周星期日对仿真好的电路进行整理写实验报告。

十九周星期一进行答辩和交报告。

四、 总评成绩

    

指导教师            

学生签名            

题目:抢答器电路设计

一、设计任务与要求

(1)可容纳四组参赛的数字式抢答器。

(2)当某台参赛者按下抢答开关时,由数码管显示该台编号并伴有声响。此时,抢答器不再接收其他输入信号。

(3)电路具有定时功能。要求回答问题的时间≤60秒(显示为00~59),时间显示采用倒计时方式。当达到限定时间时,发出声响提示。

(4)具有计分功能。要求能设定初始分值,能进行加减分。

(5)在复位状态下台号数码管不作任何显示(灭灯)。

二、方案设计与论证

抢答器的基本工作原理:

1、       当主持人按下“开关”按钮后,选手可以通过按按钮的快慢来决定由谁来回答,按得快的选手的编号显示在电子显示管上并伴有响声。

2、       此后选手输入被锁住,如果主持人按下复位键则编号显示处不作任何显示。

3、       然后主持人就按下计时开关,选手开始作答,作答的时间少于60秒,以倒数的方式进行,而且通过显示屏把时间显示出来。

4、       当选手作答仅剩10秒时,开始通过喇叭响来做提示。如果到了显示“00”时,计时器不再进行倒数而停留在“00”状态。

5、       此时选手仍没有作答成功,则主持人会对该选手进行减分处理,如果在“00”之前作答成功则加分,分数也是通过计分器显示出来。

6、       之后主持人按下开关,所有的显示及工作状态回到初始状态以便进行下一次答题。

原理框架图(图1)

图1.原理框架图

方案一、对照上面原理框架图,各个主要的部分选用对应功能的芯片进行设计,如果在仿真时没能找到相应的芯片则用相近的。比如在选手抢答时的输入用74148优先编码器进行编码让一个输入有效,并用七段显示译码器显示出台号。

方案二、对照框架图,选用各种逻辑站以及相关的逻辑函数进行设计,编号进直接把锁存器的输出转化8421BCD码,并通过逻辑函数表达式的方式输入到显示译码器中让其显示出来。

通过比较可以得出方案一更可行,理由在于芯片组上集成的功能要强大些,且用的元器件的数目会相对少一些这样会更美观而且不容易出错。

三、单元电路设计与参数计算

1、封锁电路

封锁电路的主要功能是分辨选手按键的先后,并能把第一个抢答者的编号锁存起来,并使其他选手的按键操作无效。实现该电路的功能的主要芯片是74175(图1为功能表,图2为逻辑功能图,图3为锁存器电路)

表1

图2

工作原理:当主持人控制开关处于“清零”位置时该电路清零即当RD接低电平时,全为低电平,各显示灯均熄灭,即此时封锁器电路不工作,当主持人将开关拨到“开始”后即RD为高电平时,此时锁存器处于工作状态,即抢答器电路处于等待工作状态。这时当有选手将按键按下时(如按下SW2)。此时=1,由D触发器的功能可知,此时=1,=0。当=0时,经过与非门变为1再经过非门变为0,再和4个选手组成的或门逻辑器再与,选手就可以通过开关来抢答,而且只有一次输入变化,因此CP只接收到一次的电平变化,因此那就后就保持了第一次的输出所以就封锁了CP的输入,此时74175不再工作,从而实现了封锁其他选手的作答。只有在第一个人答完以后,由主持人操作清零开关使抢答器电路复位,才能进行下一步的抢答。

图3

2.显示编号的电路

     当有选手按下了开关后,快的那个选手的编号可以被输出并记忆起来送到74148和7448组成的编码器和七段显示器组成的显示台号电路中去显示出选手编号。

74148是低电平有效的优先编码器,其功能表表2所示:

                                    

                     (L  低电平    H  高电平    ×  任意电平)

表2

图4

    图4为74148的逻辑图

                  ( L  低电平    H  高电平    ×  任意电平)

                 表3.七段LED译码驱动器7448功能表

图5

图5是封锁电路和台号显示电路合在一起的电路图,只有两个合在一起才能很好的在一次答题中只显示一个选手号。

由于74175是低电平有效,可以将从74175输出端分别接到其1,2,3,4端,其余的5,6,7端均接高电平,这样就可以形成,最高输出4而不会出现显示大于4的可能出现。由于其输出以为低电平有效,正常情况下每个输出经过一个非门后就可进行显示编号。 在复位状态下台号数码管不作任何显示(灭灯),所以必须要接7448。7448的功能表与电路分别如表3所示

3.抢答器定时电路

   在比赛规则里,要求选手的答题时间不能超到60秒,因此必须要有一个定时电路,这个电路可以设计成60进制加法器,但是更多的情况下,为了直观的原因我们采用的是60进制减法计数器。如果选手能在60秒里答对,则算答题成功,否则为失败。而且在最后的10秒钟伴有响声做为提示,当时最后到达00时,响声也会停止。

为了实现60进制减法计数器,采用了74192芯片,它具有加减法功能,是同步10进制的。

74LS192(54/74194)两个引脚图管脚及功能表如下:74LS192是同步十进制可逆计数器,它具有双时钟输入,并具有清除和置数等功能,其引脚排列及逻辑符号如下所示:

              (a)引脚排列                       (b) 逻辑符号

图中:为置数端,为加计数端,为减计数端,为非同步进位输出端, 为非同步借位输出端,P0、P1、P2、P3为计数器输入端,为清除端,Q0、Q1、Q2、Q3为数据输出端。

其功能表如下:

图6

定时器电路如上(图6)

上图用两块74192来组成60进制减法计数器,通过置数法让一有电源输入到该电路上,就直接把输出置为59,差通过数码管显示出来,如图左边的74192,它的一个D1、D3接到地(图中没法看到)D0D1D2D3=0101,所以当有电源接入时,左边的数码管能即时显示出“5”。左边的74192芯片代表十位数字,右边的代表个位数,只有个位上发生从0变到9的状态,才能促使十位上减1,因此把右边芯片的减法进位端作为脉冲信号送到左边的脉冲输入端DN中,而右边接DN接入的应该是一个频率为1HZ的输入脉冲。UP为加法输入端所以置为高电平。

如果单由两个74192组成定时器则这种定时器会有问题,就是没办法在计数为00时停止计数而是继续回到59再做一次这样的循环。为了克服这个问题,特意加入了一个JK边沿触发器。将两个的输出端通过异或非门作为输入,送到JK触发器的时钟信号端CLK,因为如果计时器一旦输出“00”,异或非门的输出刚好为1,而触发器的CLK从0到1,接收到一次上升沿的时钟信号,因而JK触发器此时Q输出为1,再把Q送到两个芯片的MR端,此时芯片就不再处于计数状态,因而可以做出来到“00”停止计时的效果。

                 表4.JK触发器的功能表

这个定时计数器还要实现一个功能,就是实现最后10秒时要伴有警告声

这是一个可以实现发出声音的蜂鸣器。

图7

如图上所示,将十位芯片组的输出用一个或非门输出,这时输出为1。而个位芯片用一个或门输出,在个位上还没有到达0时,这个或门U13:A始终输出为1,再将这个或门U17用一个与门U18:A跟一个脉冲信号连起来。再将U13:A和U18:A组成一个与非门U3:D输入到BUZ2的一端,由于脉冲信号作用,当公平没有达到00时,U18:A输入为1,U13:A为1,所以当脉冲处于高时,U3:D为低,当处于低电平时,U3:D为高,就形成了一个有变化的电流,造成BUZ响。但是一旦到达“00”,U17输出0,U18:A也是一直是0,U3:D也一直是0,没有发生变化,因而就不会响。

4、计分器

当选手作答完后,要根据选手答题的情况来进行加法或减分。而这个加分减分功能我同样用了74192,每答对一题得一分,答错一题减一分,主持人根据选手答题情况来加减分,最高分为9分,最低分为0分。

图7

这个电路是通过一个BUTTON按钮,按一下会自动的弹上来,这样确保每一次的按和放都有一次的脉冲输入,两个开关代表加分减分,将开关的一端接脉冲,另一端接UP或DN,然后能过输出就可以知道当前得分。

四、总电路工作原理及元器件清单

总电路原理图:

 

图8

2.总电路工作原理

当主持人按下“开关”按钮后,选手可以通过按按钮的快慢来决定由谁来回答,按得快的选手的编号显示在电子显示管上并伴有响声。此后选手输入锁住,如果主持人按下复位键则编号显示处不作任何显示。然后主持人就按计时开关,选手开始作答,作答的时间少于60秒,以倒数的方式进行,而通过显示屏把时间显示出来。当选手作答仅剩10秒时,开始通过喇叭响来做提示。如果到了显示“00”时,计时器不再进行倒数而停留在“00”状态。

此时选手仍没有作答成功,则主持人会对该选手进行减分处理,如果在“00”之前作答成功则加分,分数也是通过计分器显示出来。之后主持人按下开关,所有的显示及工作状态回到初始状态以便进行下一次答题。

3.元件清单

五、仿真调试与分析

本次设计的仿真我采用了protues仿真软件做,我试过多个软件如EWB,但是这个软件能比较直观地看出来每一个输出输入量是高电平还是低电平,而且连起线来也相对比较简单,最好一点是两个端口之间不一定要用线连而使用相同的网络标号(即相同的名字)就相当于把线连了起来。

调试过程中出现了没办法锁住选手输入,还有计时不在00停等一系列问题,通过不断地观察每一个的输入输出还有查每个芯片的针脚来一次次地改进,比如加一个什么门,加一个开关等,都有可能解决比较难搞的问题。

六、结论与心得

本次课程设计对于我的课题的要求基本上实现了。这次课程设计时间有点匆忙,虽然大部分的功能都实现了,但是还是会存在不完美的地方,实在是时间有点紧,没办法做长时间的修改。

设计进行地比较成功,对常用的芯片无论是从功能上,还是构造上都在了更深的了解,更重要的是锻炼了我们的动手能力,平时看书都能看得明白,但是到了仿真的时候还是会出现很多的问题,有时候一个小失误致使整个电路工作状态出现错误,但是还是能过一次次的调试,一次次地去修改最后得出了成品。也学了一个新的软件,这也是很重要的。

反正这次课程设计收获也不少,但是如果时间能够再充分一点,我相信自己能够做得更好。

七、参考文献

《数字电子技术基本教程》   阎石  清华大学出版社

《电路与电子技术实验》  电工电子实验中心

更多相关推荐:
四路抢答器课程设计报告

四路抢答器设计实验报告信息科学技术学院自动化班四路抢答器设计实验报告一设计任务1巩固和加深对电子电路基本知识的理解提高综合运用本课程所学知识的能力2养成根据设计需要选学参考书籍查阅相关手册图表和文献资料的自学能...

四路抢答器实验报告总结(精简版)

四路抢答器实验报告总结组员肖燕艳邓华刘思纯彭丽一设计目的1学习数字电路中的优先编码器锁存器多谐振荡器译码器数据显示管的综合运用2熟悉抢答器的工作原理3了解数字系统设计调试及故障排除方法二设计要求1四组参赛者进行...

四路抢答器实验报告

四路抢答器计算机与信息工程学院20xx级非师范班20xx指导教师戚桂美讲师摘要抢答器在比赛中有很大的用途它能准确公正直观的判断出第一抢答者通过抢答器的指示灯显示数码显示指示出第一抢答者本文用了2D触发器74LS...

四路智能抢答器课程设计报告

长沙师范学校电子信息工程系电子技术课程设计总结报告专业电子信息工程技术班级姓名学号指导教师电子信息工程系20**年10月18日课题题目:四路智能抢答器一、课程设计目的本次课程设计主要是配合《模拟电子技术》和《数…

四路抢答器报告

数字电路课程设计报告题目四人抢答器摘要1Abstract11题目要求211设计任务22题目的意义本人所做的工作及系统的主要功能221题目的意义23系统总体框图34方案论证45系统硬件设计451选手按键和LED显...

四路抢答器设计报告

四路抢答器设计报告四路抢答器专业物理学班级姓名设计报告09物理学2班xxx学号20xx06101226姓名xxx学号20xx06101251姓名xxx学号20xx06101225姓名xxx学号20xx06101...

四路抢答器实验报告

电子技术课程设计报告题目智力竞赛抢答器学生姓名专业学号指导教师日期摘要数字电子技术在日常生活中的应用很广泛起着非常重要的作用可以说是无处不在本次课设基于EDA技术中的maxplusII我们设计了一个四路抢答器它...

zvypos课程设计报告书(四路抢答器)

懒惰是很奇怪的东西它使你以为那是安逸是休息是福气但实际上它所给你的是无聊是倦怠是消沉它剥夺你对前途的希望割断你和别人之间的友情使你心胸日渐狭窄对人生也越来越怀疑河南理工大学计算机科学与技术学院课程设计报告200...

潍坊学院四路抢答器实习报告

电子技术课程设计说明书电子技术课程设计说明书题目四路抢答器系部信息与控制工程学院专业测控技术与仪器班级20xx级二班学生姓名窦颜颜学号120xx240018指导教师李增喜20xx年5月28日1电子技术课程设计说...

四人抢答器实验报告

福州大学电气工程与自动化10级设计性实验报告实验目的1掌握电路板焊接技术2学习调试系统电路提高实验技能3了解竞赛抢答器的工作原理及其结构实验所用原件清单芯片74LS17574LS19274LS48x274LS0...

数电四路抢答器课程设计报告

数字式4组竞赛抢答器的设计与制作院系信息系专业班级电气工程及其自动化姓名董烜丙学号指导老师李晓玲设计日期李瑞春李坤飞0807120xx0807120xx0807120xx20xx0630课题题目数字式4组竞赛抢...

四人抢答器课程设计报告

四人智力竞赛抢答器一设计目的1掌握四人智力竞赛抢答器电路的设计组装与调试方法2熟悉数字集成电路的设计和使用方法二设计任务与要求1设计任务设计一台可供4名选手参加比赛的智力竞赛抢答器用数字显示抢答倒计时间由9倒计...

四路抢答器实验报告(36篇)