EDA实验报告4位全加器实验报告

时间:2024.4.1

EDA实验报告书


第二篇:EDA实验报告(四位全加器的实现)


实验一  四位全加器的实现

一、        实验目的

1、掌握Quartus9.0图形编辑输入法

2、掌握Quartus环境下文件的编译、仿真及下载方法

3、了解VHDL语言的设计流程

4、掌握quartus环境下VHDL的使用方法

二、        实验内容

1、用图形/原理图法实现4位全加器。

2、用VHDL语言实现4位全加器,必须使用元件例化。

3、仿真并通过。

3、下载到实验板,并验收

三、        实验步骤

1、  图形编辑发设计4位加法器

(1)    新建图形文件,设计一位全加器,逻辑电路图如下图(图1-1)所示。

图1-1

(2)    将设计好的一位全加器进行例化,操作为fileàCreate/UpdateàCreate symbol files for currentfile,完成此操作后会在元器件符号表里找到刚刚做好的一位全加器。

(3)    再新建一个图形文件,用四个已经做好的一位全加器级联成一个四位全加器,其逻辑原理图如图1-2所示。编辑好后保存文件,在文件列表里找到该文件,右键àSet as Top-level Entity,将其设置为顶层文件,点击编译按钮就行编译。

图1-2

(4)    新建波形文件,赋予每个输入端口某种输入信号,保存波形文件,进行功能仿真,观察输出端波形与输入信号关系是否正确。若不正确,查找问题所在并解决问题;若正确,则进行管脚分配,分配完毕后再编译一次使分配生效,连接DE2开发板到电脑,将文件下载到开发板进行验证。

2、  VHDL语言设计4位加法器

(1)    新建一个VHDL源文件,文件名为adder1.vhd,使用VHDL实现一位全加器,其VHDL代码如下:

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

ENTITY adde1r IS

PORT(A,B,Ci:IN STD_LOGIC;

                  S,Co:OUT STD_LOGIC);

END adder1;

ARCHITECTURE qadder OF adder1 IS

BEGIN

                PROCESS(A,B,Ci)

                  VARIABLE n1,n2,n3:STD_LOGIC;

                BEGIN

                  n1:=A AND B;

                  n2:=A XOR B;

                  n3:=Ci AND n2;

                  Co<=n3 OR n1;

                  S<=n2 XOR Ci;

                END PROCESS;

END qadder;

(2)    再新建一个VHDL源文件,命名为adder4.vhd,在这里将adder一位全加器例化并使用它,做成四位全加器,代码如下:

library ieee;

use ieee.std_logic_1164.all;

entity adder4 is

port(A,B:in std_logic_vector(3 downto 0);

  S:out std_logic_vector(3 downto 0);

                Co:out std_logic;

                Ci:in std_logic);

end adder4;

architecture adder_4 of adder4 is

                component adder

                port(

                  A:in std_logic;

                  B:in std_logic;

                  Ci:in std_logic;

                  Co:out std_logic;

                  S:out std_logic);

                 end component;

signal c1,c2,c3:std_logic;

begin

                u1:adder port map(A(0),B(0),Ci,c1,S(0));

u2:adder port map(A(1),B(1),c1,c2,S(1));

                 u3:adder port map(A(2),B(2),c2,c3,S(2));

                 u4:adder port map(A(3),B(3),c3,Co,S(3));

end adder_4;

(3)    保存文件后将adder4设置为顶层文件并编译,编译通过后按照与图形编辑发一样的仿真、管脚分配方式进行操作,最后下载到开发板验证

四、        实验现象

两种方式实现的四位加法器下载到DE2开发板后都可正常工作,其中使用SW0作为低位的进位,SW4~1作为数据B,SW8~5作为数据A,LDG3~0作为输出的结果,LEDG4作为输出的进位。当SW4~1闭合 SW8~5和SW0断开时,只有LEDG3~0这四个灯亮;当SW8~0全闭合时,LEDG4~0灯全亮。

中国石油大学(华东)

                  

课题名称               EDA设计

实验项目名称           实验一四位全加器的设计

专业                   计算机科学与技术                     

姓名                   孙文吉

更多相关推荐:
全加器实验报告

数电实验报告二组合逻辑电路半加器全加器及逻辑运算一实验目的1掌握组合逻辑电路的功能测试2验证半加器和全加器的逻辑功能3学会二进制数的运算规律二实验元器件数电实验箱集成芯片74LS0074LS1074LS5474...

加法器 数电实验报告三

实验三加法器一实验目的1掌握用SSI器件实现全加器的方法2掌握用MSI组合逻辑器件实现全加器的方法3掌握集成加法器的应用二实验设备及器件1数字逻辑电路实验板1块274HCLS00四二输入与非门1片374HCLS...

一位全加器的实验报告

专业班级20xx级电子2班学号1020xx07姓名周娟EDA技术实验报告实验项目名称在QuartusII中用原理图输入法设计1位加法器实验日期20xx510实验成绩实验评定标准一实验目的熟悉利用QuartusI...

八位加法器的设计实验报告

八位加法器的设计实验报告学号U20xx15272班级信息安全0901姓名方浏洋日期20xx528位加法器的设计实验报告目录一实验概述2二设计思路321Quartus中74181的功能分析3228位先行加法器的设...

EDA实验报告4位全加器

实验课程名称EDA技术与应用123456

4位全加器实验报告

四位全加器11微电子黄跃1117426021实验目的采用modelsim集成开发环境利用verilog硬件描述语言中行为描述模式结构描述模式或数据流描述模式设计四位进位加法器实验内容加法器是数字系统中的基本逻辑...

全加器实验报告

南昌大学实验报告实验一全加器的设计学生姓名学号专业班级实验类型验证综合设计创新实验日期实验成绩一实验目的以一位二进制全加器为例熟悉利用QuartusII的原理图输入方法和文本输入法设计简单组合电路学习多层次工程...

实验一一位二进制全加器设计

南昌大学实验报告学生姓名:学号:专业班级:实验类型:验证□综合□设计□创新实验日期:实验一一位二进制全加器设计实验一、实验目的1、学习QuartusII的文本和原理图输入方法设计简单组合电路以熟悉Quartus…

计算机组成原理实验报告2-全加器

计算机组成原理实验报告实验2八位全加器设计一实验目的熟悉利用Quartus的原理图输入方法设计简单组合电路掌握层次化设计的方法二实验任务通过一个8位全加器的设计把握利用EDA软件进行原理图输入方式的电子线路设计...

组合逻辑电路(半加器全加器及逻辑运算) 实验报告

中山大学南方学院电子通信与软件工程系学期数字电路与逻辑设计实验实验报告班级姓名学号成绩同组成员姓名学号一实验名称组合逻辑电路半加器全加器及逻辑运算二实验目的1掌握组合逻辑电路的功能调试2验证半加器和全加器的逻辑...

实验一 一位二进制全加器设计

南昌大学实验报告学生姓名:学号:专业班级:中兴101班实验类型:□验证□综合□设计□创新实验日期:20##、10、22实验一一位二进制全加器设计实验一、实验目的1、学习QuartusII的文本和原理图输入方法设…

计组-加法器实验报告

半加器全加器串行进位加法器以及超前进位加法器一实验原理1一位半加器A和B异或产生和Sum与产生进位C2一位全加器将一位半加器集成封装为halfadder元件使用两个半加器构成一位的全加器34位串行进位加法器将一...

全加器实验报告(38篇)