简易数字计时器实验报告

时间:2024.4.20

 

数字电子技术仿真报告

  题    目:   时分计时数字钟     

院    系:控制与计算机工程学院

班    级:                      

学    号:                      

学生姓名:       **             

成    绩:                   

          

日期:20##年7月6日


一、目的与要求

1.熟练运用Multisim进行数字电路的仿真实验;

2.制作一个能显示时、分、秒的数字时钟;

3.熟悉各元件的性能和设置元件的参数;

二、设计思路

1.电路结构图:

数字时钟主要由两个最基本的部分组成,一是时钟信号发生器。而是时、分、秒的计数器。一下是数字钟的结构框图。

 

                                                                                                                 

2.电路原理:

⑴时钟信号发生器

时钟信号发生器是由集成电路定时器555与RC组成的多谐振荡器构成,通过设置合适的R1、R2和C值可以将输出频率调整为1Hz。

在精度要求相对不高的情况下,多谐振荡器的振荡频率可由下式估算:

f0=1/(0.69*(R1+2R2)*C)

那么,当R1=R2=10k,C=47μF。

⑵秒计时电路

秒计时器是一个60进制的计数器,配上标准时钟信号就可以实现指示了。中规模集成芯片74LS160为十进制的加法计数器,其功能表如下:

由上表可知当RD'=0时计数器为全零状态。因清零不需与时钟脉冲CP同步作用,因此称为异步清零。当清零端RD'=1时,使能端 EP=ET=1时,预置控制端LD'=0,电路可实现同步预置数功能。当RD'=LD'=1时只要EP与ET中的一个为0,即封锁了四个触发器的J、K端使其全为0此时无CP脉冲,各触发器状态保持不变。当LD'=RD'=EP=ET=1时电路可实现十进制加法计数功能。因此,采用两片74LS160芯片级联,首先构成100进制的计数器,再通过适当的与非门改造成60进制的计数器即可。

⑶分计时电路

分计时电路与秒计时电路完全相同,知识分计时电路的脉冲信号由秒计时电路的进位脉冲给出。

⑷时计时电路

时计时电路由24进制的计数器构成,做法与秒计时电路相同,进位脉冲由分计时电路给出。

⑸数码显示

各74LS160芯片输出端分别连接BCD8421数码显示管即可显示当前计数状态。

⑹电路原理图(见附录)

三、总结或结论

1.总结

设计心得体会:在此次设计过程中我有以下两点总结:第一,我对设计电路的步骤有了更深的了解设计电路分为以下几步首先清楚电路需要实现的功能,然后是通过什么电路来实现这些功能,最后是选取那些芯片来设计电路。还有就是使我深刻的体会到了,在用芯片的前提下应先知道芯片各引脚的功能;第二,在设计电路时刚开始不知从何处下手,后来做出了一个60进制的计数器,却总是要在不是适当的时候产生一个尖脉冲,导致错误进位。仔细一想是产生了冒险,于是在置数时提前加与门消除了冒险,进位脉冲能够正常输出。

2.结论

此电路能够产生精度要求不高的1Hz的始终信号脉冲,时、分、秒能够正常显示,具有时钟计时功能。

四、参考文献

    [1]李月娇.数字电子技术基础.中国电力出版社,2008

附录(设计电路图、数据表格等)

时钟脉冲信号发生器

更多相关推荐:
计数器实验报告

实验4计数器及其应用一实验目的1学习用集成触发器构成计数器的方法2掌握中规模集成计数器的使用及功能测试方法二实验原理计数器是一个用以实现计数功能的时序部件它不仅可用来计脉冲数还常用作数字系统的定时分频和执行数字...

实验四、 计数器的设计 电子版实验报告

实验四计数器的设计实验室实验台号日期专业班级姓名学号一实验目的1通过实验了解二进制加法计数器的工作原理2掌握任意进制计数器的设计方法二实验内容一用D触发器设计4位异步二进制加法计数器由D触发器组成计数器触发器具...

数电 计数器实验报告

试验七计数器李*电子信息科学与技术一、试验目的1.掌握中规模集成计数器74LS160,161的逻辑功能及使用方法。2.掌握74LS160计数器的级联方法。3.学习用中规模集成计数器实现任意进制计数器。二、试验器…

实验报告:计数器

四川大学电气信息学院电气工程及其自动化网络专升本实验报告实验课程:电工电子综合实践实验名称:计数器班级:05秋电气工程及其自动化姓名:学号:VH1xxxx2003日期:20xx-x-x一、实验目的1、了解中规模…

计数器实验报告

计数器实验报告一实验内容1静态测试芯片74LS90的逻辑功能2动态测试芯片73LS90的芯片功能画出clk与其中一个输出的波形图3用一块74LS90芯片连接一个模2模5计数器4用两个74LS90级联成一个模24...

十进制计数器实验报告

广东水利电力职业技术学院20xx年4月1日设计一个动态显示十进制计数器一前言本实验将用到七段数码显示译码器译码器是用来驱动各种显示器件从而将用二进制代码表示的数字文字符号翻译成人们习惯的形式直观地显示出来的电路...

秒计数器实验报告

秒计数器实验报告一实验目的1显示60秒计时功能2计时器为60秒递减计时器3根据原理图分析各单元电路的功能4熟悉电路中所用到的各集成块的管脚及功能5进行电路的装接调试直到电路能达到规定的设计要求二实验器材秒计时器...

实验三 可编程定时器/计数器

课程名称微型计算机技术及应用实验班级实验名称实验三可编程定时器计数器指导教师学生姓名学生学号一实验目的掌握8253的基本工作原理和编程方法二实验设备1PC机一台2专用导线若干3TPCH通用微机接口实验系统一台4...

EDA实验报告(四选一、四位比较器、加法器、计数器、巴克码发生器)

EDA实验报告姓名学号班级实验14选1数据选择器的设计一实验目的1学习EDA软件的基本操作2学习使用原理图进行设计输入3初步掌握器件设计输入编译仿真和编程的过程4学习实验开发系统的使用方法二实验仪器与器材1ED...

数电实验计数器及其应用

3491宋亚明实验五计数器及其应用41实验目的1熟悉常用中规模计数器的逻辑功能2掌握二进制计数器和十进制计数器的工作原理和使用方法42实验设备174LS90274LS473七段显示译码器43实验要求1设计一个十...

基于vc++mfc的科学计算器程序实验报告

课程名称实验项目专业班级姓名实验室号实验时间指导教师实验报告windows软件开发实习科学计算器软件1006班蔡钟绪学号10120xx21410实验组号批阅时间马广焜成绩沈阳工业大学实验报告适用计算机程序设计类...

zk数电29进制计数器实验报告

29进制加法计数器1原理同步置数法2选用器件如下1组成两片74160N十进制加法计数器2一个函数发生器产生矩形脉冲波3两片数码显示管已编码4一片与非门二输入5一片非门6红色标记灯329进制连接图

计数器实验报告(45篇)