QUARTUS应用二---计数器设计(实验报告模板)

时间:2024.4.13

可编程逻辑器件FPGA实验二

计数译码显示系统设计

一、 实验目的

1、 掌握中规模集成计数器的逻辑功能,以及任意进制计数器的设计方法

2、 熟悉显示译码器和数码管的原理及设计应用

3、 了解用数字可编程器件实现集成计数译码显示电路的方法

4、 学会分频器的使用

5、 进一步熟悉QUARTUS软件的基本使用方法

实验原理

1、 计数器

(简述设计中所用两种集成计数器功能原理)

2、 显示译码器和数码管

(简述显示译码器和数码管的分类)

3、 分频器

(简述分频器的功能)

二、 实验内容

1、 用74161设计一个十九进制的计数器

(1)原理图

(2)功能仿真波形

(3)时序仿真波形

2、用74190设计一个十二进制减法计数器

(1)原理图

(2)功能仿真波形

(3)时序仿真波形

三、 实验总结

1、 实验故障及解决方法

2、 实验体会

四、 思考题

1、 七段数码管分为共阴极和共阳极两类,本实验用的是哪一类?对两种数码管,各需选用

何种型号的译码器?

2、 在采用集成计数器构成任意N进制计数器时,常采用哪两种方法?各有何特点?


第二篇:实验报告2


内蒙古工业大学信息工程学院

实 验 报 告

课程名称:     CPLD/FPGA应用开发技术      

实验名称:     组合逻辑电路的设计        

实验类型: 验证性□综合性□ 设计性■

实验室名称:    信息工程学院9楼机房    

班级 电子09-2班  学号

姓名:      组别:             

同组人:           成绩:          

实验日期:    2012年5月2 日      

实验报告成绩:         指导教师审核(签名):                    年    月    日

一、实验目的

1、了解时序电路的 VHDL 语言设计方法。

2、了解同步计数器的使用方法。

3、理解时序电路和同步计数器加译码电路的联系,设计任意编码计数器。

二、实验设备

1、PC 机

2、EDA 实验箱(主芯片是ALTERA EPM7128SLC84-15)。

三、实验内容

1、用 VHDL 语言输入法设计一个同步四位二进制加法计数器和六进制同步计数器。

2、用 74LS161 两个宏连接成八位二进制同步计数器。

3、用 74LS161 宏,同时采用清零和置数法组成六进制和十二进制计数器。

四、实验步骤

1、采用文本编辑器输入 VHDL 语言源程序,或采用原理图输入法从MF 库中调用器件

74161,生成原理图,之后建立工程。

2、编译。

3、仿真。

4、对芯片进行编程。

5、根据管脚分配情况连线。

(1) 根据芯片特点,管脚分配时一般将时钟信号分配给83 脚,复位信号分配给

1 脚。若有使能信号,使能信号分配给84 脚。

(2) 时钟信号的连接:将实验板上提供的时钟与芯片的83 脚相连。

(3) 复位信号的连接:将实验板上的某按键开关输出与芯片的1 脚相连。

(4) 将计数器的输出端分别与LED 灯相连。

6、按动复位键,观察实验结果。

7、改变输入时钟信号的频率,观察实验结果。

五、实验程序

1、用 VHDL 语言输入法设计一个同步四位二进制加法计数器和六进制同步计数器。

(1)四位二进制加法计数器程序代码:

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITY CN2 IS

PORT(CLK:IN STD_LOGIC;

     CLR:IN STD_LOGIC;

     OUT1:OUT STD_LOGIC_VECTOR(3 DOWNTO 0));

END CN2;

ARCHITECTURE SS OF CN2 IS

SIGNAL CNT: STD_LOGIC_VECTOR(3 DOWNTO 0);

BEGIN

  PROCESS(CLK,CLR)

    BEGIN

      IF CLR='0' THEN OUT1<="0000";

      ELSIF (CLK'EVENT AND CLK='1') THEN

           IF CNT="1111" THEN CNT<="0000";

        ELSE CNT<=CNT+'1';

        END IF;

      OUT1<=CNT;

      END IF;

  END PROCESS;

END SS;

仿真波形

(2)六进制同步计数器程序代码:

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITY CN6 IS

PORT(CLK:IN STD_LOGIC;

     CLR:IN STD_LOGIC;

     OUT1:OUT STD_LOGIC_VECTOR(2 DOWNTO 0));

END CN6;

ARCHITECTURE SS OF CN6 IS

SIGNAL CNT: STD_LOGIC_VECTOR(2 DOWNTO 0);

BEGIN

  PROCESS(CLK,CLR)

    BEGIN

      IF CLR='0' THEN OUT1<="000";

      ELSIF (CLK'EVENT AND CLK='1') THEN

         IF CNT="101" THEN CNT<="000";

      ELSE CNT<=CNT+'1';

      END IF;

      OUT1<=CNT;

      END IF;

  END PROCESS;

END SS;

仿真波形

2、用 74LS161两个宏连接成八位二进制同步计数器。

原理图:

结果分析:由仿真结果可知,计数器输出Q4,Q3,Q2,Q1,O4,O3,O2,O1组成的八位二进制数每计数六个清零一次,所以构成六进制同步计数器,符合设计要求。

输入数据:CLR为清零端,开始为零(有效),然后为1(无效)。CLK为时钟输入端,是周期为50s的方波,以观察输出知否正确。

管脚分配: CLK对应管脚83。CLR对应管脚84。Q4,Q3,Q2,Q1,O4,O3,O2,

仿真波形

3、 用 74LS161宏,同时采用清零和置数法组成六进制和十二进制计数器。

六进制

清零法原理图

 


结果分析:由仿真结果可知,计数器输出O1,O2,O3,O4组成的四位二进制数每计数六个置数一次,所以构成一个六进制同步计数器,计数范围为0010——1001,符合设计要求。

输入数据:CLR为清零端,开始为零(有效),然后为1(无效)。CLK为时钟输

仿真波形

置数法原理图

 


仿真波形

十二进制

清零法原理图

仿真波形

 


置数法原理图

仿真波形

六、实验心得体会

经过试验,很好地将理论与实践结合起来,不仅学会了VHDL语言,它很好的描述了数字电路系统设计,而且熟悉了MAX+plus2的基本用法,在使用软件调试的过程中能够快速有效的找出错误,提高了实验的效率,从仿真的结果也能够很直观的看出结果,这为我们以后自己编程序查找错误提供了很好的帮助。但是也有很多细节容易忽视,对软件运用不是很熟悉,以后多加练习进行加强。

更多相关推荐:
实验六 同步计数器的设计实验报告

数字电路实验报告实验六同步计数器的设计学号姓名一实验目的和要求1熟悉JK触发器的逻辑功能2掌握用JK触发器设计同步计数器二实验仪器及器件三实验预习1复习时序逻辑电路设计方法逻辑抽象得出电路的状态转换图或状态转换...

计数器实验报告

实验4计数器及其应用一实验目的1学习用集成触发器构成计数器的方法2掌握中规模集成计数器的使用及功能测试方法二实验原理计数器是一个用以实现计数功能的时序部件它不仅可用来计脉冲数还常用作数字系统的定时分频和执行数字...

实验四、 计数器的设计 电子版实验报告

实验四计数器的设计实验室实验台号日期专业班级姓名学号一实验目的1通过实验了解二进制加法计数器的工作原理2掌握任意进制计数器的设计方法二实验内容一用D触发器设计4位异步二进制加法计数器由D触发器组成计数器触发器具...

十进制计数器实验报告

广东水利电力职业技术学院20xx年4月1日设计一个动态显示十进制计数器一前言本实验将用到七段数码显示译码器译码器是用来驱动各种显示器件从而将用二进制代码表示的数字文字符号翻译成人们习惯的形式直观地显示出来的电路...

光电计数器实验报告

光电计数器实验报告学生姓名李志学号081244115专业名称光信息科学与技术指导教师易煦农时间日期20xx1019摘要21世纪是信息时代是获取信息处理信息运用信息的时代传感与检测技术的重要性在于它是获得信息并对...

光电计数器课程设计实验报告

皖西学院机械与电子工程学院课程设计皖西学院课程设计报告书系别专业学生姓名课程设计题目起迄日期课程设计地点指导教师机械与电子工程系电子信息科学与技术学号光电计数器12月10日12月22日教学实验楼B楼张斌1皖西学...

数字系统设计实验报告计数器、累加器

实验五计数器设计一实验目的1复习计数器的结构组成及工作原理2掌握图形法设计计数器的方法3掌握VerilogHDL语言设计计数器的方法4进一步熟悉设计流程熟悉数字系统实验开发箱的使用二实验器材数字系统设计试验箱导...

8253计数器课程设计实验报告

一前言微机原理和接口技术是一门实践性强的学科不但要求有较高的理论水平而且还要求有实际的动手能力其中很多的原量规则现象等仅仅靠学习教科书是无法完全掌握的必须通过实践才能比较直观和深刻的理解本课程设计任务和目的是帮...

数字系统设计综合实验报告

数字系统设计综合实验报告实验名称1加法器设计2编码器设计3译码器设计4数据选择器设计5计数器设计6累加器设计7交通灯控制器设计班级姓名学号指导老师实验1加法器设计1实验目的1复习加法器的分类及工作原理2掌握用图...

实验八 设计一个三位十进制计数器实验报告 捞金版

广西大学实验报告纸_______________________________________________________________________________姓名:电气工程学院电气自动化类专业…

数字电路设计实验报告

数字电路设计实验报告引言本课程是面向智能车制作的课程但是主要讲述的是一些关于智能车制作的一些最基本的基础知识比如说一些单片机的知识和一些相应数字电路的知识故我在这里利用一些所学的知识来设计一个数字时钟该电路系统...

简易数字频率计数器的课程设计报告

总分实物50课程设计报告书50目录第一章引言111设计要求112系统概述2第二章设计方案分析与论证321算法设计322整体方框图以及工作原理3第三章单元电路设计531时基信号产生电路532放大整形电路633计数...

计数器设计实验报告(37篇)