数字钟实验报告

时间:2024.4.21

  数字钟实验报告

课题名称:数字钟的设计与制作

组员:王庆刘盛清杨隽

姚琦邱健斌

姓名:

班级:电气信息I112

实验时间:

实验地点:

指导老师:             

目录

一、实验目的--------------------------------------------------------------------------------------------------------------3

二、实验任务及要求-----------------------------------------------------------------------------------------------------3

三、实验设计内容--------------------------------------------------------------------------------------------------------3

(一)、设计原理及思路---------------------------------------------------------------------------------------------3

(二)、数字钟电路的设计 ----------------------------------------------------------------------------------------4

 (1)电路组成------------------------------------------------------------------------------------------------------4

 (2)方案分析------------------------------------------------------------------------------------------------------10

(3)元器件清单---------------------------------------------------------------------------------------------------11

四、电路制版与焊接------------------------------------------------------------------------------------------------------11

五、电路调试---------------------------------------------------------------------------------------------------------------12

六、实验总结及心得体会------------------------------------------------------------------------------------------------13

七、组员分工安排---------------------------------------------------------------------------------------------------------19

  

  

一、实验目的

1.学习了解数码管,译码器,及一些中规模器件的逻辑功能和使用方法。

2.学习和掌握数字钟的设计方法及工作原理。熟悉集成电路的引脚安排,掌                    握各芯片的逻辑功能及使用方法了解面包板结构及其接线方法。

3.了解PCB板的制作流程及提高自己的动手能力。

4.学习使用protel软件进行电子电路的原理图设计、印制电路板设计。

5.初步学习手工焊接的方法以及电路的调试等。使学生在学完了《数字电路》课程的基本理论,基本知识后,能够综合运用所学理论知识、拓宽知识面,系统地进行电子电路的工程实践训练,学会检查电路的故障与排除故障的一般方法

锻炼动手能力,培养工程师的基本技能,提高分析问题和解决问题的能力。

二、实验任务及要求

1.设计一个二十四小时制的数字钟,时、分、秒分别由二十四进制、六十进制、六十进制计数器来完成计时功能。

2.能够准确校时,可以分别对时、分进行单独校时,使其到达标准时间。

3.能够准确计时,以数字形式显示时、分,发光二极管显示秒。

4.根据经济原则选择元器件及参数;

5..小组进行电路焊接、调试、测试电路性能,撰写整理设计说明书。

三、实验设计内容

1、设计原理及思路

3.1数字钟的构成

数字钟一般由振荡器、分频器、计数器、译码器、显示器、较时电路、报时电路等部分组成,这些都是数字电路中应用最广的基本电路

3.2原理分析

    数字钟实际上是一个对标准频率(1Hz)进行计数的计数电路。振荡器产生的时钟信号经过分频器形成秒脉冲信号,秒脉冲信号输入计数器进行计数,并把累计结果以“时”、“分”、“秒”的数字显示出来。秒计数器电路计满60后触发分计数器电路,分计数器电路计满60后触发时计数器电路,当计满24小时后又开始下一轮的循环计数。由于计数的起始时间不可能与标准时间(如北京时间)一致,故需要在电路上加一个校时电路可以对分和时进行校时。

图1 基本框图

从上图可知,数字钟由以上各部分电路组成。

振荡器产生的1Hz的脉冲作为数字钟的标准秒脉冲。秒计数器计满60后向分计数器个位进位,分计数器计满60后向小时计数器个位进位并且小时计数器按照二十四进制计数。计数器的输出经译码器送显示器。校时电路可分别对时、分进行单独校时,以达到标准时间。

由框图可知电路主要由振荡电路、计数电路、显示电路以及校时电路四大部分组成。下面将对各部分电路进行设计:

2、数字钟电路的设计

数字钟电路主要由振荡电路、计数电路、显示电路以及校时电路四大部分组成。下面将对各部分电路进行设计。

  以下是本实验所设计的方案:

1、电路组成:

1)振荡电路

        振荡电路振荡电路由555定时器和电阻,电容串并联构成。图示电路即可产生1HZ的标准秒脉冲,用于电路的计时的脉冲 电路原理图如图11所示:

                        图11  555定时器的脉冲电路

在采用此方案之前,是用555定时器产生1KHZ的脉冲信号,然后再用三个160计数器依次分频得到1HZ的计数脉冲,虽然用555加接电容和电阻会因没有十分合适的电阻阻值而不是十分的精确,但我们在实验室里接成电路后发现没有很大的区别。这样子不仅少了些元器件更加的经济,而且电路更简单,在后面画PCB图时会省去很大的的麻烦,后来在实验的过程中也确实证明了这一点。

(2)计数电路

   计数电路分别有二十四进制和六十进制的计数器电路组成,对标准脉冲进行计数,用74ls160实现计数,时分电路图如图3、图4所示:

时的计数电路实行二十四进制,当到达24小时时,进行清零后又开始新的计数来循环。计数电路通过输入端进行与非后的输出来实现。当秒的计数到达59时,再来一个脉冲时,上升沿触发,进到分的个位,同时秒实行置数。当分的计数到达59,同时秒的计数到达59,再来一个脉冲后,分将进位到时的个位,同时秒与分实现置数,当时计数到23时,秒、分计数到59、59 时,再来一个脉冲将实现整体置数,显示管显示为00 00 00。

  图3  二十四进制计数器

分秒的计时电路;  

通过输入1HZ的脉冲到秒计数器,当第一片计数器到达9时,再下一个脉冲来时到达上升沿时进行进位,秒的十位开始计数,依次循环,当秒的十位到达5、个

位到达9时,接着下一个脉冲来时,将进行秒到分的进位,分实行六十进制后,再进位到时

图4  六十进制计数器

2 计数器工作原理:

3)显示电路

    显示器电路由七段显示译码器和电阻构成,用于将时分秒以十进制数码显示出来。译码器是编码的逆过程,能够将输入的每个二进制代码赋予的含义“翻译”过来,给出相应的输出信号,译码器的电路图如图4所示:本次实验所用的为共阴数码管。

其电路如图5所示,当给其中某些段落加有一定驱动电压或电流时,这些段会发光,把输入的二-十进制代码转换成十进制码,显示出相应的十进制数码。

图5 译码显示电路图

对电路中的主要元件及功能介绍

a.译码器74LS48

译码器是一个多输入、多输出的组合逻辑电路。它的工作是把给定的代码进行“翻译”,变成相应的状态,使输出通道中相应的一路有信号输出。译码器在数字系统中有广泛的用途,不仅用于代码的转换、终端的数字显示,还用于数字分配,存储器寻址和组合控制信号等。译码器可以分为通用译码器和显示译码器两大类。在电路中用的译码器是共阴极译码器74LS48用74LS48输入的8421BCD码ABCD译成七段输出a-g,再由七段数码管显示相应的数。管脚LT、RBI、BI/RBO都是低电平是起作用,作用分别为:

LT为灯测检查,用LT可检查七段显示器个字段是否能正常被点燃。

BI是灭灯输入,可以使显示灯熄灭。

RBI是灭零输入,可以按照需要将显示的零予以熄灭。BI/RBO是共用输出端,RBO称为灭零输出端,可以配合灭零输出端RBI,在多位十进制数表示时,把多余零位熄灭掉,以提高视图的清晰度。

b.显示器

在此电路图中所用的显示器是共阴极形式,阴极必须接地线。Dpy Blue-CC

   的管脚功能图如图7所示:

图7 数码管

4电源适配器电路

 本次试验配备了一个电源适配器,用适配器直接接220V电源,另一端接数字钟即可用,所以本试验没有电源适配器电路。                               

(5)校时电路

由于设置时所导致的时间误差,通常使数字钟上锁显示都是时间与标准时间不一致,这时就需要用校时电路对时和分进行单独校时,将时间调到标准时间。校时电路通过一个开关与外电路连接。外电路是用一个琴键开关连接电源和地线,中间用了一个保护电阻。当开关打到校时电路时,电路是低电平,每按一次琴键开关就产生一个高电平,即产生一个上升沿脉冲,令计数器加1,从而达到校时功能。其电路如图如下。

图 9 校时电路原理图

   如上图,开关S2接上端时正常计数,接下端是进入校时电路。当琴键开关没按下时,输入S2的是低电平,当琴键开关按下时,输入S2的是高电平,从而达到每按一下琴键开关即输出一个脉冲的效果,达到校时的功能。

结合上述的电路,可得出完整的电路:

                    整体电路的PCB图

2、方案分析:

   该方案采用555定时器产生1Hz的脉冲信号作为信号输入,时钟计数部分用六片74LS160进行设计,分别实现时的二十四进制和分、秒的六十进制。校时电路部分采用两个双刀双掷开关来控制,当开关打到琴键开关端时,计数器停止计数,电路进行校时,每按一次记一个数,直到校时完成,将开关打到进位脉冲端,计数器进行正常计数。显示电路用六片共阴数码管构成,分别用来显示时、分、秒。设计该方案的脉冲部分是通过了解当555定时器连接不同阻值的电阻和电容可以产生不通频率的脉冲,在实验时通过脉冲电路可以方便的得到所需的脉冲。在计数部分,由于已经学到了计数分频的知识,理解懂得74LS160的工作原理,因此采用74LS160来实现计数,原理比较容易理解。该方案的显示电路采用了六片共阴的数码管以及搭配的六片74LSO48的译码器。在设计时也比较的简单,要注意数码管的接线问题,总体来说,该方案的可行性较高,只要在脉冲和计数那块正确基本原理可以实现时钟计时的功能。 

3、元器件清单

四、电路制板与焊接

1.制板

根据原理图通过自己手动布线生成了PCB图。然后拿到实验室去印制。领取给定的电路板并用皮刷刷干净,晾干。将打印纸上有Nacl的一面铺在电路板上在转印机里面转印,注意转印过程中要用手指轻轻压住电路板防止纸滑脱电路板,影响转印效果,此过程要进行6到7遍,一般来说当你压电路板的手指感到有点烫手了就差不多了。

转印完了之后用记号笔将电路板上不清楚的线补上,确定电路板上的线路没有问题之后用三氯化铁腐蚀,老师所给的三氯化铁溶液是已经配制好了的,只要加一些热水是其溶液莫过电路板就可以了。然后在用另一个盆子加些热水放在装有电路板的盆子下面加快腐蚀速度,并用手轻摇装有电路板的盆子。

腐蚀完了,在用水冲洗,用手洗去电路板表面未被冲净的残留物并用吸水纸擦干。

接下来就是将电路板放到打孔机上进行打孔。

2. 焊接

电路板打孔后,下一步就是焊接器件了。焊接时,先焊接小的器件,例如电阻、电容,再者把管脚再焊上电路板,最后焊接开关、飞线。另外,要注意焊接时,锡不能粘一起,不小心粘一起的可用松香溶掉,重新焊接。焊完后,仔细检查焊脚,确保没有虚焊。

 五、电路调试

在本设计中,为了设计的顺利进行,我们在实验箱上进行了部分调试,因为电路太复杂,在实验箱上不可能整体电路进行调试。其他电路在仿真软件上进行了仿真后可以实现功能,调试完后就去打印、转印PCB制版了进行焊接等操作了。

  1计数电路部分

   在焊接完成后,对计数电路进行了调试,一开始发光二极管不亮,后来进过检查发现了那个IN4007接反了,于是调了一下正负极后,灯亮了,而且计数电路可以正常计数,每个部分都执行了相应的计数,进位也没有问题。

2.校时电路部分

在整个电路的设计中,需要用到两个校时电路,两个校时电路的功能相同,

调试的结果是:当开关断开时,分计数电路,小时计数电路正常计数,当开关闭合时,校时电路进行校时。只是有时松开按键时,较时数会有点误变化,经过仔细分析,确定是由于在松按键时产生了抖动,如果接上R-S触发器就能够消抖。

此次由于没有用R-S触发器进行消抖,发现每次校时偏差抖好大,因此校时电路这部分只能算是成功了一半。

六、实验总结及心得体会

这次数字钟综合设计实验前前后后弄了差不多一个月,在初次听说要做这个实验时。我是很高兴的,因为这是一个绝好的机会让我们做到理论联系实际。刚刚学过了数电这门课程,还没完全弄懂某些元器件的原理和用途,这次实验让我们从实践中加深了对所学知识的理解。

我们立刻组好队伍,选定队长,明确了个人在实验中的具体任务,做到责任到人,这样就保证整个团队的办事效率,不会出现你推我推,没人干事的局面。在初次设计原理图时,就遇到了些困难,但是问题不大,经过我们的相互讨论,集思广益后,很轻松的画出了原理图。为了保证正确,我们采纳了老师的建议,到实验室进行接线实验,发现不是很准确,就去问老师,减去了些不必要的线,对电路原理图进行了修改,把160分频换成了555直接产生1HZ的脉冲。

接下来就进行原理图的电子绘制了,用protel软件画原理图是一件陌生的事,在简单的听取老师讲解之后,还是不清楚,就在网上下载视频学习,这是一个比较漫长的过程。边学边用,不会了就去问同学和老师。由于有时间的限定,经常弄到晚上一两点,但是想到学习本来就是一件艰苦的事,大家一起弄一个东西时有感觉很值得。那个时候真是十分的投入。这时我才感觉这是一件艰难的事,当初的兴奋劲减了不少。

问题最大的是PCB的绘制,刚开始时我们把各个参数设定好,采用自动布线再把那些不能连的脚用飞线连接,就以为可以了。交给老师看才发现这样子不行,这无疑是一个巨大任务,手动连线,。画了四五个图,问了老师好多遍,每次总有些改进和收获。在这个过程中,板子的大少又改了,又要重画,那是大多数时间都投入进去了。我们一直都认为飞线是个最大的问题,所以采取从焊盘中间穿过。后来发现我们错了,最重要的是数字钟能正常工作,好看不看好看是次要的。这个过程花的时间最多用了好几个礼拜。艰难但最后弄出来了,

最后是印板子,钻孔,焊原件。没有印好,只有用笔瞄了,真心体会到难了。焊接时要主要布线和焊点的合理分布,我们尽量做到美观。实际焊接过程中,要保证焊笔不要碰到已经焊好的线,否则焊好的线很容易脱落。每焊接完一部分电路,就立即进行调试。插拔集成芯片时用力要均匀,避免芯片管脚在插拔中变弯、折断。但我们在操作时,时常焊在一起,只有用小刀划开,五个人轮流干活,痛并快乐着。

在整个实验过程中,我们也学到很多的东西。加强了团队合作精神,磨练了我们的意志力。我们各人之间好好的配合,分工合作,设计过程没有一团乱麻。更为可贵的是,我们彼此鼓励,同舟共济地处理每个问题。加强我们对电子器件的了解。我们又学会了新的软件,此次课程设计恰恰提供了一个好机会,让我们从实践中加深了对所学知识的理解,提高了我们的动手能力,

    总的来说这次课程设计一切都安排得很合理,但就是将近期末了,有些同学做到最后就不耐烦了,我们组里大家相互鼓励,都很努力坚持下来了,虽然最后没能计时,但是这个过程我们真正的参与了。

七、组员分工安排

                                      姓名:刘  盛  清  

                                      学号:6100211050 

                                      电 一 112 班


第二篇:数字钟实验报告


电 子 信 息 与 电 气 工 程 系

课程设计报告

课程名称    在系统编程技术      

任课教师                

设计题目                

班级         07自动化一班      

姓名                        

学号          0705071020       

日期       2010526      

目录

1.设计思路__ 3

2. 方案论证与选择__ 3

3. 单元模块设计部分__ 4

3.1 CNT10模块设计__ 4

3.2 CNT6模块设计__ 5

3.3 CNT101模块设计__ 6

3.4 CNT61模块的设计__ 7

3.5 CNT23模块设计__ 8

4.系统仿真__ 10

4.1数字钟的引脚锁定__ 10

4.2 数字钟原理图__ 11

4.3数字钟仿真图__ 12

4.4数字钟编译报告__ 13

5.参考文献__ 14

6.心得体会__ 14

EDA数字钟设计

摘要

数字钟学习的目的是掌握各类计数器及它们相连的设计方法;掌握多个数码管显示的原理与方法;掌握FPGA技术的层次化设计方法;掌握用VHDL语言的设计思想以及整个数字系统的设计。此数字钟具有时,分,秒计数显示功能,以24小时为计数循环;能实现清零,调节小时,分钟以及整点报时的功能。

关键词:数字钟,计数器,,FPGA,VHDL

1.设计思路

基于VHDL语言,用Top—To--Down的思想进行设计。

确定总体结构。

 

 

                                  

2. 方案论证与选择

方案:设置小时和分,输出整点报时信号和时,分,秒信号。方案采用自顶向下的设计方法,它由秒计数模块,分计数模块,小时计数模块和顶层模块四部分组成。

3. 单元模块设计部分

RES是整个系统的复位键,低电平有效,复位时,各个输出都为零,时间显示0时0分0秒;clk是输入时钟,提供秒信号,上升沿触发,每出发一次,时间增加一秒;HRTMP,MIN10TMP,MINTMPKEYI可以分别设置小时位,10分位,分位,起到调时的作用,高电平有效,有效时,每来一个CLK时钟(1s),所对应的位都将以各自的计数循环;

RING是整点报时。

3.1 CNT10模块设计

10进制计数器。CLK为秒信号;RES是复位信号,与CLK同步;EN为选通信号;COUT3..0]输出秒个位;CA是进位信号。如图1-2所示。

        图1-2

十进制的秒模块的VHDL源程序(CNT10.VHD),如下:

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

entity cnt10 is

 port(en,res,clk: in std_logic;   

            ca : out std_logic;   

           cout : out std_logic_vector(3 downto 0));

end;

architecture rtl of cnt10 is

 signal q : std_logic_vector(3 downto 0);

begin

 p1 : process(en,clk,res)

 begin

   if(clk'event and clk='1') then

    if(res='0') then

      q<="0000";

    elsif(en='1') then

      if(q=9) then

        q<="0000";

      else

        q<=q+1;

      end if;

    end if;

   end if;

 end process p1;

 p2 : process(q)

 begin

   if(q=9) then

      ca<=en;

   else

      ca<='0';

   end if;

  end process p2;

  cout<=q;

end rtl;

3.2 CNT6模块设计

即进制计数器,CLK为秒信号;RES为复位信号,与CLK同步;EN为选通信号;COUT[3..0]输出秒的十位;CA是进位信号。如图1-3所示。

     图1-3

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

entity cnt6 is

 port(clk: in std_logic;            

      en: in std_logic;                   

  res: in std_logic;            

      ca : out std_logic;    

      cout : out std_logic_vector(3 downto 0));   

end;

architecture rtl of cnt6 is

 signal q : std_logic_vector(3 downto 0);

begin

 p1 : process(en,clk,res)

 begin

   if(clk'event and clk='1') then

    if(res='0') then

      q<="0000";

    elsif(en='1') then

      if(q=5) then

        q<="0000";

      else

        q<=q+1;

      end if;

    end if;

   end if;

 end process p1;

 p2 : process(q)

 begin

   if(q=5) then

      ca<=en;

   else

      ca<='0';

   end if;

  end process p2;

  cout<=q;

end rtl;

3.3 CNT101模块设计

即十进制计数器,输出分的个位。EN接CNT6的进位CA,产生正常的时钟;EN2由外部断口控制,可用来调节时间,高电平有效,输出将以秒的速度递增循环。如图1-4 所示。

图1-4

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

entity cnt101 is        --output minitute's gewei

 port(clk : in std_logic;          

res: in std_logic;        

en : in std_logic;

en2: in std_logic;

      ca : out std_logic;      

    cout : out std_logic_vector(3 downto 0));

end;

architecture rtl of cnt101 is

 signal q : std_logic_vector(3 downto 0);

begin

 p1 : process(en,en2,clk,res)

 begin

   if(clk'event and clk='1') then

    if(res='0') then

      q<="0000";

    elsif(en='1'or en2='1') then

      if(q=9) then

        q<="0000";

      else

        q<=q+1;

      end if;

    end if;

   end if;

 end process p1;

 p2 : process(q)

 begin

   if(q=9) then

      ca<=en;

   else

      ca<='0';

   end if;

  end process p2;

  cout<=q;

end rtl;

3.4 CNT61模块的设计

六进制计数器,输出分的十位。EN接CNT101的进位CA,产生正常的时钟;EN2由外部端口控制,可用来调节时间,高电平有效,输出分的十位将以秒的速度递增循环。如图1-5所示。

图1-5

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

entity cnt61 is      

 port(en2,en,res,clk : in std_logic;

                 ca : out std_logic;       

               cout : out std_logic_vector(3 downto 0));

end;

architecture rtl of cnt61 is

 signal q : std_logic_vector(3 downto 0);

begin

 p1 : process(en,en2,clk,res)

 begin

   if(clk'event and clk='1') then

    if(res='0') then

      q<="0000";

    elsif(en='1'or en2='1') then

      if(q=5) then

        q<="0000";

      else

        q<=q+1;

      end if;

    end if;

   end if;

 end process p1;

 p2 : process(q)

 begin

   if(q=5) then

      ca<=en;

   else

      ca<='0';

   end if;

  end process p2;

  cout<=q;

end rtl;

3.5 CNT23模块设计

24进制计数器,输出时个位和时十位,由两个选通信号EN和EN2控制,EN2用来调时。如图1-6所示。

图1-6

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

entity cnt23 is       

 port(en2,en,res,clk : in std_logic;

      a,b: out std_logic_vector(3 downto 0);

       ca : out std_logic );

end cnt23;

architecture rtl of cnt23 is

 signal aout,bout : std_logic_vector(3 downto 0);

begin

 p1 : process(en,en2,clk,res)

 begin

   if(res='0') then

      aout<="0000";

      bout<="0000";

     elsif(clk'event and clk='1') then

     if(en='1' or en2='1') then

       if bout>1 then

        if aout>2 then

           aout<="0000"  ;

           bout<="0000";

        else

           aout<=aout+1;

        end if;

       elsif(aout=9) then

          aout<="0000";

          bout<=bout+1;

       else

          aout<=aout+1;

       end if;

     end if;

   end if;

end process ;

process(en,clk,aout,bout)

begin

      if clk'event and clk='1' then

           if en='1'then

              if aout=3 and bout=2 then

                 ca<='1';

              else

                 ca<='0';

              end if;

           end if;

        end if;

    end process;

a<=aout;

b<=bout;  

end rtl;

4.系统仿真

4.1数字钟的引脚锁定

RES是整个系统的复位键,低电平有效,复位时,各个输出都为零,时间显示0时0分0秒;clk是输入时钟,提供秒信号,上升沿触发,每出发一次,时间增加一秒;HRTMP,MIN10TMP,MINTMPKEYI可以分别设置小时位,10分位,分位,起到调时的作用,高电平有效,有效时,每来一个CLK时钟(1s),所对应的位都将以各自的计数循环;

RING是整点报时。

再此试验中,我选择的是模式五电路结构图。

引脚锁定如下:RES       对应 键1(D9)--8号引脚;

              MINTMP   对应 键2(D10)--9号引脚;

              MIN10TMP 对应 键3(D11)--10号引脚;

              HRTMP    对应 键4(D12)--12号引脚;

              HR10TMP  对应 键5(D13)--13号引脚;

              RING       对应------------99号引脚;

              CLK        对应-----------124号引脚;

          SEC[3..0]    对应 译码1(PIO19-PIO16)--30、31、32、33号引脚;

          SEC10[3..0]  对应 译码2(PIO23-PIO20)--36、37、38、39号引脚;

          MIN[3..0]    对应 译码3(PIO27-PIO24)--41、42、65、67号引脚;

          MIN10[3..0]  对应 译码4(PIO31-PIO28)--68、69、70、72号引脚;

          HR[3..0]     对应 译码5(PIO35-PIO32)--73、78、79、80号引脚;

          HR10[3..0]   对应 译码6(PIO39-PIO36)--81、82、83、86号引脚;

4.2 数字钟原理图

4.3数字钟仿真图

4.4数字钟编译报告

5.参考文献

《综合电子设计与实践》             王振红  张常年 著

《EDA技术试验与课程设计》     曹昕燕 周凤臣 聂春燕  著

《EDA技术实用教程》                潘松 黄继业 著

《在系统编程技术》实验指导            谭敏 周泽华 著

6.心得体会

总的来讲这门课我还是学到不少东西的,对EDA有了一个大致的了解了EDA技术是电子设计自动化(E1echonics Des5p AM·toM60n)的缩写是以大规模可编程逻辑器件为设计载体,以硬件描述语言为系统逻辑描述的主要表达方式,以计算机、大规模可编程逻辑器件的开发软件及实验开发系统为设计工具,通过有关的开发软件,自动完成用软件的方式设计电子系统到硬件系统的一门新技术。

这门课周老师上的特别好,而且对我们要求很严,这样才是一位好的老师,因为对我们比较负责。我们才能真正的学到东西。在这里要感谢周老师,是她教会我们如何去学习,上了大学以后老师都不怎么管了,很多同学根本就不学习了,整天抄作业。这样下去到了毕业那天都会后悔的。

通过学期末的课程设计对自己的帮助很大,感觉自己就是一位小的工程师一样,能设计东西出来了,很有成就感。短短的两周课程设计就使自己学会了一种思想,通过这为期两个多月的实验课程的实践体会我收获了不少,在刚开始做实验时我真的一点都摸不着头绪,第一次实验给我的启发也比较深,使我明白了预习的重要性,做好预习在实验室里就会很轻松,如果没有预习的话在实验课上就会遇到很多问题的,可能还不能明白。但通过这段时间的学习和实验课中也充分暴露出我对这门课的学习和掌握情况不是太好!每次实验都不能进行顺利的写出和分析程序的原因之所在,另外一方面的是在做实验时总感觉英语学的不是太好,以至在检查错误时不能正确的判断出错误的原因等等。用一句话讲:不是自己学不会而是自己下的功夫不到吧!针对以上这些情况,在这段时间我一定加倍努力把EDA学好,不仅仅是为了考试,更重要的是为将来的工作增加自己的竞争力。

俗话说:“难者不会,会者不难。”其实学好一门课并不难,关键看自己有没有理解,如果理解的话很多问题都迎刃而解了,当然理解也要下工夫才行。学习这门课更需要理解,如果对这门课理解学起来会很轻松,如果没有理解的话,那是寸步难行啊,我相信自己最终对这门课会理解的!

更多相关推荐:
数字钟实验报告

数字钟设计实验报告实习内容实习形式学生姓名学号专业班级实习单位实习时间认识实习社会调查教学实习生产临床劳动毕业实习集中分散彭云610020xx71信息工程学院电气信息I类092班南昌大学20xx1120xx12...

数字电子时钟实验报告

华大计科学院数字逻辑课程设计说明书题目:多功能数字钟专业:计算机科学与技术班级:网络工程1班***学号:****完成日期:20XX-9一、设计题目与要求设计题目:多功能数字钟设计要求:1.准确计时,以数字形式显…

基于数字电路的数字钟实验报告

计算机与信息技术学院设计性实验报告一实验目的选择适当的计数器和译码器设计24进制计数器和60进制计数器并将设计好的24进制计数器和60进制计数器组合可显示小时分钟和秒的数字时钟二实验仪器装有Proteus软件的...

数电课程实验报告——数字钟的设计

数字电子技术课程设设计题目班级学号学生姓名指导教师时间计报告数字钟的设计20xx年12月27日20xx年1月2日数字电子技术课程设计任务书一设计题目数字钟的设计二设计任务与要求1时钟显示功能能够以十进制显示时分...

EDA数字钟实验报告

EDA实现多功能数字钟实验报告实验EDA实验数字钟一实验任务用FPGA器件和EDA技术实现多功能数字钟的设计已知条件1MAXPlusII软件2FPGA实验开发装臵基本功能1以数字形式显示时分秒的时间2小时计数器...

数字钟实验报告

实实验名称学生姓名学号专业班级验报告数字钟设计制作20xx年12月30日数字钟的设计制作一设计报告内容1目的2设计指标3设计制作要求4实验报告要求5实验工具器件6设计原理7电路的安装调试与检测8设计心得与体会二...

数字钟 实验报告 同济大学

电子电路数字钟设计说明书电子电路课程设计总结报告同济大学项目名称数字钟学院机械工程学院专业班级姓名指导老师1电子电路数字钟设计说明书一课程设计题目3二课程设计的设计任务和基本要求3三课程设计题目分析3四课程设计...

数字钟实验报告

南昌大学实验报告实验四多功能数字钟设计学生姓名学号专业班级实验类型验证综合设计创新实验日期实验成绩一实验目的1学习综合且较复杂数字系统设计2学习多层次多模块数字系统设计3学习数码管扫描显示电路设计二设计要求完成...

数字钟实训报告

实验项目数字钟设计与制作一设计指标1显示时分秒2可以24小时制或12小时制3具有校时功能可以对小时和分单独校时对分校时的时候停止分向小时进位校时时钟源可以手动输入或借用电路中的时钟4具有正点报时功能正点前10秒...

单片机数字时钟实验报告

数字时钟实验报告一实验目的1熟悉单片机的结构和各引脚的的功能以及如何用程序控制2学习用单片机对数字时钟控制按键扫描及LED数码管显示的设计方法3了解键盘的结构以及工作原理通过单片机的定义实现对数码管时钟的调整二...

南昌大学数字钟设计实验报告

数字电路与逻辑设计实验实验报告题目学院信息工程学院系电子信息工程专业班级学号学生姓名同组同学指导教师递交日期23页第1页共南昌大学实验报告学生姓名学号专业班级实验类型验证综合设计创新实验日期实验成绩数字钟电路设...

数字时钟设计实验报告

电子课程设计题目数字时钟数字时钟设计实验报告一设计要求设计一个24小时制的数字时钟要求计时显示精度到秒有校时功能采用中小规模集成电路设计发挥增加闹钟功能二设计方案由秒时钟信号发生器计时电路和校时电路构成电路秒时...

数字钟实验报告(43篇)