篇一 :eda课程设计总结

EDA课程设计报告

班级:电信 0703

姓名:邬芳 陈楚碧 付蕾

学号:3070503062

3070503063

3070503064

…… …… 余下全文

篇二 :交通灯eda课程设计代码

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

entity traffic_ctr1 IS

GENERIC(

green1_cnt:integer:=40; //定义主通道绿灯亮的时间,设为40秒 yellow1_cnt:integer:=5; //定义主通道黄灯亮的时间,设为5秒 left1_cnt:integer:=15; //定义主通道左拐灯亮的时间,设为15秒 green2_cnt:integer:=30; //定义支通道绿灯亮的时间,设为30秒 yellow2_cnt:integer:=5; //定义支通道黄灯亮的时间,设为5秒 left2_cnt:integer:=15; //定义支通道黄灯亮的时间,设为15秒 );

PORT(

clk: in sts_logic; //时钟信号

rst: in sts_logic; //复位信号

lgt1_red: out sts_logic; //主通道灯控制信号

lgt1_yellow: out sts_logic;

lgt1_green: out sts_logic;

lgt1_left: out sts_logic;

lgt2_red: out sts_logic; //支通道灯控制信号

lgt2_yellow: out sts_logic;

lgt2_green: out sts_logic;

…… …… 余下全文

篇三 :《3DS MAX》课程设计总结

20xx--20xx年第一学期

艺术系

3DS MAX课程设计总结

樊继

艺术系艺术设计教研室

20xx年x月

《3DS MAX》课程设计总结

樊继

《计算机辅助2》这门课主要是针对3Dmax进行学习和操作,其实在大一大二的时候有初步的接触,但由于长时间不联系,又从新回到了原点,对此对这三周的课程进行一个总结和学习的心得体验 好奇期:初期,出于好奇而初涉MAX时,眼前汪洋一片,不知从何涉足。花掉重金,盲目购入大量3DSMAX书籍,以为凭着刻苦钻研,成为三维动画大师还不是易如反掌?岂知读过这些书后,才知书的水准参差不齐。读后仍然不得要领。幸运的话可得业内友人指点,从中选出几本适宜的书细读,才开始上路。

经验1:不要浪费银子盲目购书,选准好的图书会事半功倍。 兴趣期:在初识MAX后,兴趣大增,急于想尽快揭开MAX神秘的面纱,出现急功近利的倾向。什么都想看看,什么都想学学,制作出个小玩艺,便沾沾自喜,逢人便显示,企图赢得人们赞赏。口中自谦为菜鸟,心中却很自豪。最终进入“万金油”的阶段,即样样通,样样松,用现代语说就是菜鸟。

经验2:沉下心,多学习,尽量缩短菜鸟期

沮丧期:渡过了“菜鸟期”便进入沮丧期。此时,MAX像无底深渊,令人越陷越深,愈学愈难,看看人家高手制作的作品,对比自己的拙作,大感自惭形秽!于是信心倍失,随即产生另寻新欢的念头。 经验3:多实践,练题海, 咬牙渡过沮丧期

理性期:像人类渡过痛苦的老年更年期一样,MAX初学者应仅快地从痛苦的沮丧期中解脱出来。一旦解脱,便会冷静下来总结经验,以利再战。总结经验,选定学习目标是最重要

的。............。。。。。。。。。.................

经验总结3条:

(1)要根据自己的特长去决定自己学习MAX和应用MAX的目标。譬如,你的主业是建筑行业,熟悉建筑,那么就钻研建筑造型的MAX技术;如果你的专业是工业设计,那么你就围绕工业产品造型方面去深入MAX技术,决不可胡子眉毛一把抓。

…… …… 余下全文

篇四 :EDA课程设计心得体会

这次EDA课程设计历时两个星期,在整整两个星期的日子里,可以说是苦多于甜,但是可以学的到很多很多的东西,同时不仅可以巩固以前所学过的知识,而且学到了很多在书本上所没有学到过的知识。通过这次设计,进一步加深了对EDA的了解,让我对它有了更加浓厚的兴趣。特别是当每一个子模块编写调试成功时,心里特别的开心。但是在编写顶层文件的程序时,遇到了不少问题,特别是各元件之间的连接,以及信号的定义,总是有错误,在细心的检查下,终于找出了错误和警告,排除困难后,程序编译就通过了,心里终于舒了一口气。在波形仿真时,也遇到了一点困难,想要的结果不能在波形上得到正确的显示:在设定输入的时钟信号后,数字秒表开始计数,但是始终看不到秒和小时的循环计数。后来,在数十次的调试之后,才发现是因为输入的时钟信号对于器件的延迟时间来说太短了。经过屡次调试,终于找到了比较合适的输入数值:时钟周期设置在15秒左右比较合适。另外,Endtime的值需要设置的长一点:500us左右,这样就可以观察到完整的仿真结果。

其次,在连接各个模块的时候一定要注意各个输入、输出引脚的线宽,因为每个线宽是不一样的,只要让各个线宽互相匹配,才能得出正确的结果,否则,出现任何一点小的误差就会导致整个文件系统的编译出现错误提示,在器件的选择上也有一定的技巧,只有选择了合适当前电路所适合的器件,编译才能得到完满成功。

通过这次课程设计使我懂得了理论与实际相结合是很重要的,只有理论知识是远远不够的,只有把所学的理论知识与实践相结合起来,从理论中得出结论,才能真正为社会服务,从而提高自己的实际动手能力和独立思考的能力。在设计的过程中遇到问题,可以说得是困难重重,这毕竟第一次做的,难免会遇到过各种各样的问题,同时在设计的过程中发现了自己的不足之处,对以前所学过的知识理解得不够深刻,掌握得不够牢固。

总的来说,这次设计的数字秒表还是比较成功的,在设计中遇到了很多问题,最后在老师的辛勤的指导下,终于游逆而解,有点小小的成就感,终于觉得平时所学的知识有了实用的价值,达到了理论与实际相结合的目的,不仅学到了不少知识,而且锻炼了自己的能力,使自己对以后的路有了更加清楚的认识,同时,对未来有了更多的信心。最后,对给过我帮助的所有同学和各位指导老师再次表示忠心的感谢!

…… …… 余下全文

篇五 :eda技术课程总结与心得

【第一章】1、FPGA芯片的发展主要体现在哪几个方面?未来的发展趋势是什么?

ANS:

2、EDA技术的优势是什么?

ANS: EDA依赖功能强大的计算机在EDA工具软件平台上自动的完成逻辑化简、逻辑分

割、逻辑综合、结构综合,以及逻辑优化和仿真等功能,直至实现既定性能的电子线路系统

功能。EDA使得设计者的工作几乎仅限于利用软件的方式,即利用硬件描述语言HDL和

EDA工具软件来完成对系统硬件功能的实现 + P 10

3、EDA的设计流程包括哪几个环节?

ANS: ①设计输入(原理图/HDL文本编辑) ②综合 ③ FPGA/CPLD 适配 ④ 时序仿真与

功能门级仿真 ⑤FPGA/CPLD编程下载 ⑥FPGA/CPLD器件电路硬件检测。

4、硬件描述语言的种类有哪些?

ANS: VHDL 、Verilog HDL、SystemVerilog、System C 等

5、自顶向下设计方法的优点是什么?

ANS: 过程大部分由计算机完成,可植性强,便于系统的优化和升级,以及对模型进行及

时的修改,以改进系统或子系统的功能,更正设计错误,提高目标系统的工作速度,减小面

积耗用,降低功耗和成本等。在EDA技术应用中,自顶向下的设计方法,就是在整个设计

流程中各设计环节逐步求精的过程。

6、ip核可分为哪几类?

ANS: ①软IP 、②固IP、③硬IP

7、ip在EDA技术的应用和发展中的意义是什么?

ANS: IP就是将某些功能固化,而当EDA设计也需要这些功能的时候,就可以直接将植

入了此功能的IP拿过来直接用,而不用再重新设计。这样既可以提高效率又可以减少设计

风险。IP核具有规范的接口协议,良好的可移植与可测试性,为系统开发提供了可靠的保

证。

【第二章】1、可编程逻辑器件经历哪些发展过程?

…… …… 余下全文

篇六 :EDA课程设计任务书精简

目录

题目1、加法计算器设计 ........................................................................................................ 2

题目2 、拔河游戏机的设计 .................................................................................................. 2

题目3 、彩灯控制器的设计 .................................................................................................. 2

题目4、洗衣机控制器的设计 ................................................................................................ 2

题目5、可变周期脉冲发生器的设计 .................................................................................... 2

题目7、 出租车计价器的设计 .............................................................................................. 2

题目8、 十进制硬件乘法器的设计 ...................................................................................... 3

题目9、 多路十进制频率计设计 .......................................................................................... 3

…… …… 余下全文

篇七 :《EDA技术》课程设计报告

《EDA技术》课程设计报告

【项目名称:】 总结报告

姓名:

学号:

班级:

日期:

广东外语外贸大学信息科学技术学院

一、引言

1. 数字滤波器的研究背景与意义

数字化、智能化和网络化是当代信息技术发展的大趋势,而数字化是智能化和网络化的基础,实际生活中遇到的信号多种多样,例如广播信号、电视信号、雷达信号、通信信号、导航信号、控制信号、气象信号、地震勘探信号、机械振动信号、遥感遥测信号,等等。上述这些信号大部分是模拟信号,也有小部分是数字信号。模拟信号是自变量的连续函数,自变量可以是一维的,也可以是二维或多维的。大多数情况下一维模拟信号的自变量是时间,经过时间上的离散化(采样)和幅度上的离散化(量化),这类模拟信号便成为一维数字信号。因此,数字信号实际上是用数字序列表示的信号,语音信号经采样和量化后,得到的数字信号是一个一维离散时间序列;而图像信号经采样和量化后,得到的数字信号是一个二维离散空间序列。数字信号处理,就是用数值计算的方法对数字序列进行各种处理,把信号变换成符合需要的某种形式。例如,对数字信号经行滤波以限制他的频带或滤除噪音和干扰,或将他们与其他信号进行分离;对信号进行频谱分析或功率谱分析以了解信号的频谱组成,进而对信号进行识别;对信号进行某种变换,使之更适合于传输,存储和应用;对信号进行编码以达到数据压缩的目的,等等。

数字滤波技术是数字信号分析、处理技术的重要分支。无论是信号的获取、传输,还是信号的处理和交换都离不开滤波技术,它对信号安全可靠和有效灵活地传输是至关重要的。在所有的电子系统中,使用最多技术最复杂的要算数字滤波器了。数字滤波器的优劣直接决定产品的优劣。

2. 数字滤波器的应用现状与发展趋势

在信号处理过程中,所处理的信号往往混有噪音,从接收到的信号中消除或减弱噪音是信号传输和处理中十分重要的问题。根据有用信号和噪音的不同特性,提取有用信号的过程称为滤波,实现滤波功能的系统称为滤波器。在近代电信设备和各类控制系统中,数字滤波器应用极为广泛,这里只列举部分应用最成功的领域。

…… …… 余下全文

篇八 :web程序设计(JSP)课程设计总结

《Web程序设计(JSP)》课程设计总结

课程设计时间: 20xx-20xx年第一学期 第13周—第14周

课程设计班级: 计科08101

课程设计计划学时:40

实际设计学时:40

设计地点:信息中心A-107

课程设计是培养学生综合运用所学知识 ,发现、提出、分析和解决实际问题,锻炼实践能力的重要环节。“Web程序设计课程设计”是计算机科学与技术专业的专业课,是培养学生掌握动态网站开发的重要课程。

本次课程设计要求学生独立开发一个小型电子商务网站,向客户提供一个介绍、展示商品的环境,是客户选择、购买商品的虚拟平台。系统要求实现一般的电子商务网站所具备的功能,包括会员登录、商品浏览、商品查询、购物车、下订单、结算、后台管理等。 通过本课程的课程设计要求

1. 课程设计开始之前要事先确定好课题并准备好相应素材(图片,音频等);

2. 课程设计开始后每组同学必须相互合作共同完成课题;

3. 每组中的每个同学必须独立完成定制模块的任务;

4. 课程设计课题要求内容完整,功能齐全;

5. 要有自己的设计风格,禁止相互copy;

6. 严格按照课程设计时间安排作好每一项工作;

7. 认真分析课题,整理开发思路,完成每天的规定的工作量;

设计完成,上交完整的课程设计报告并为答辩作好充分准备。

课程设计成果验收

要求学生认真回顾设计过程,总结收获,发现不足,整理技术资料,撰写课程设计报告书,写出心得体会和改进意见,准备答辩。

1.成果验收

指导教师及答辩小组对每位同学设计的成果进行验收,打设计成果成绩。验收时间为最后一次上机实验时进行。

2.撰写课程设计报告

布置设计成果通过验收的同学撰写课程设计报告。学生在课程设计完成后,提交所设计课题的“课程设计报告”,要求打印稿、电子稿(Word格式)各一份,用A4幅面纸打印。

3.答辩

通过答辩达到相互学习,找出不足,开拓设计思路的目的。主要考察学生对课程设计的认识、设计过程的体会与收获、设计成果的理解、成果的真实性及功能、关键技术问题的解决方案等。

…… …… 余下全文