篇一 :EDA实训心得

实训心得

短暂的一周实训已经过去了,对于我来说这一周的实训赋予了我太多实用的东西了,不仅让我更深层次的对课本的理论知识深入了理解,而且还让我对分析事物的逻辑思维能力得到了锻炼,提高了实际动手能力,下面谈一下就这一周实训中我自己的一些心得体会。 一周的实训已经过去了,我们在老师提供的实践平台上通过自己的实践学到了很多课本上学不到的宝贵东西,熟悉了对Quartus Ⅱ软件的一般项目的操作和学到了处理简单问题的基本方法,更重要的是掌握了VHDL语言的基本设计思路和方法,我想这些会对我今后的学习起到很大的助推作用。此外,还要在今后的课本理论知识学习过程中要一步一个脚印的扎实学习,灵活的掌握和运用专业理论知识这样才能在以后出去工作的实践过程中有所成果。

最后还要感谢学校为我们提供这样专业的实践平台还有瓮老师在一周实训以来的不断指导和同学的热情帮助。总的来说,这次实训我收获很大。

同时,感谢大专两年来所有的老师,是你们为我解惑受业,不仅教授我专业知识,更教会我做人的道理。

这次EDA实训让我感觉收获颇多,在这一周的实训中我们不仅巩固了以前学过的知识,而且还学到了怎样运用EDA设计三种波形的整个过程和思路,更加强了我们动手能力,同时也提高了我们的思考能力的锻炼,我们在写程序的同时还要学会要改程序,根据错误的地方去修改程序。

本文基于Verilog HDL的乒乓球游戏机设计,利用Verilog HDL语言编写程序实现其波形数据功能在分析了CPLD技术的基础上,利用CPLD开发工具对电路进行了设计和仿真,从分离器件到系统的分布,每一步都经过严格的波形仿真,以确保功能正常。

从整体上看来,实训课题的内容实现的功能都能实现,但也存在着不足和需要进一步改进的地方,

为我今后的学习和工作奠下了坚实的基础。通过此次的实训课题,掌握了制作乒乓球游戏机技术的原理及设计要领,学习并掌握了可编程逻辑电路的设计,掌握了软件、CPLD元件的应用,受益匪浅, 非常感谢瓮老师这一学期来的指导与教诲,感谢老师在学习上给予的指导,老师平常的工作也很忙,但是在我们学习的过程中,重来没有耽搁过,我们遇到问题问他,他重来都是很有耐心,不管问的学生有多少,他都细心的为每个学生讲解,学生们遇到的不能解决的,他都配合同学极力解决。最后祝愿瓮老师身体健康,全家幸福。

…… …… 余下全文

篇二 :EDA实习报告

EDA实习报告 学院:电气信息工程学院专业:电子信息工程班级:姓名:学号:

1

实习目的及要求::

1、熟悉QuartusII软件的相关操作,掌握数字电路设计的基本流程。

2、介绍QuartusII的软件,掌握基本的设计思路,软件环境参数配置,仿真,管脚分配,下载等基本操作。

3、了解VHDL或原理图设计方法与定制IP模块的思想。

4、掌握并行加法器,减法器乘法器以及除法器的设计思路及工作原理。

5、设计一个能完成加减乘除功能并以十进制显示结果的简单计算器。 软硬件资源

硬件:ED2-70实验板

软件环境:QII9.0开发系统

题目设计:这个简单的计算器要求能够进行简单的四则运算,在程序设计中,通过设计、编制、调试一个模拟计算器的程序,加深对我们对编程语句及语义分析和理解,并实现对命令语句的灵活应用。这次实验目的就是实现简单计算器的功能。

二、流程图

EDA实习报告

EDA实习报告

? 当输入为00时输出加法结果

? 当输入为01时输出减法结果

? 当输入为10时输出乘法结果

? 当输入为11时输出除法结果

EDA实习报告

2

三.顶层原理图

四个模块输出均为十六进制数,为了输出方便观察,设计了8位除法器,将输出变为十进制数显示在数码管上。

DATAIN[1..0]为输入控制端,通过试验箱上两个拨码开关控制输入。A[3..0]和B[3..0]是两个四位二进制输入数,当DATAIN为00时进行加法运算,当DATAIN为01时进行减法运算,当DATA为10时进行乘法运算,当DATA为11是进行除法运算。结果用十进制显示三个共阳静态LED数码管上,除法的余数单独显示在右下角的七段驱动共阳数码管中的一个上。

EDA实习报告

3

四、各个模块

(1)加法器模块

1、封装元件

EDA实习报告

当CLR为‘1’时清零,输出为零

当CLR为‘0’时,输入两个四位二进制数,输出两个数之和,S[3..0]为和,S[4]为进位。

…… …… 余下全文

篇三 :EDA实验总结报告

数字EDA实验

实验报告

 

学院:     计算机科学与工程学院    

专业:          通信工程            

学号:         0941903207           

姓名:           薛蕾               

指导老师:       钱强               


实验一 四选一数据选择器的设计

一、实验目的

1、熟悉Quartus II软件的使用。

2、了解数据选择器的工作原理。

3、熟悉EDA开发的基本流程。

二、实验原理及内容

实验原理

数据选择器在实际中得到了广泛的应用,尤其是在通信中为了利用多路信号中的一路,可以采用数据选择器进行选择再对该路信号加以利用。

…… …… 余下全文

篇四 :EDA实习报告

贵州师范大学学生

实习报告

科目:EDA实习

专业: 电气工程及其自动化

班级: 10电气

姓名: 李启应

学号: 101401010202

实验项目名称:数字电子钟的设计

实验项目性质:普通试验

所属课程名称:VHDL程序设计

一、 实验目的

1 学习 VHDL语言的一些基本特点。

2 掌握VHDL程序的基本结构。

3掌握VHDL程序设计方法。

4 要能够用vhdl语言读懂并编写eda程序,对eda设计的总体框架能有较好的把握,掌握各模块的调用方式。

二、 实验内容和要求

设计一个数字时钟,显示时(2位),分(2位),秒(2位),具体要求是:具有时分秒计数显示功能,以24小时循环计时;数码管动态显示时,分,秒;具有清零功能。

在软件工具平台上,进行VHDL语言的各模块编程输入、编译实现和仿真验证。

三、 实验主要仪器设备和材料

计算机,开发环境MAX-PLUSII,ZY11EDA实验系统,VHDL语言。

四、 实验方法、步骤及结果测试

1、设计思路:

数字钟的主体是计数器,它记录并显示接收到的秒脉冲个数,其中秒和分位60进制计数器,小时为24进制计数器,分别产生3位BCD码。BCD码经译码,驱动后接数码显示电路。

根据实验要求,将设计分为5个主要部分,时功能模块、分功能模块、秒功能模块、扫描仪功能模块和7段LED功能模块。 在时、分、秒模块中,包括复位和预置数,其主要思路如下:

秒钟的模块:设计一个60进制的计数器,以clk为其时钟信号,每60个clk后产 1

生一个进位信号CF给分钟模块,作为分钟进程的响应信号。

秒钟模块VHDL程序见附录1:

仿真波形如下:

EDA实习报告

封装如下图:

EDA实习报告

分钟的模块:同理于秒钟的模块,设计一个60进制的计数器,以CFM为其时钟信号,每60个CFM后产生一个进位信号CFM给小时模块,作为小时模块进程的响应信号。 分钟模块VHDL程序见附录二:

…… …… 余下全文

篇五 :EDA实习报告

     中国地质大学(武汉)

                   

      

      

         实习名称EDA实习

                业:  通信工程 

             班级序号:075124

                 名:关亚军

             指导教师王巍 

实验一 3/8  译码器的实现

一.实验目的

1. 学习QuartusⅡ 的基本操作;

2. 熟悉教学实验箱的使用;

3. 设计一个3/8 译码器;

4. 初步掌握VHDL语言和原理图的设计输入,编译,仿真和调试过程;

二.实验说明

…… …… 余下全文

篇六 :EDA实训报告

课程名称    EDA课程设计    

指导教师        曹老师        

         10电子1    

             余振         

          20131115       

8路彩灯控制器

一:实训题目************************

二:实训内容************************

三:实训目的************************

四:实训过程************************

五:实训环境************************

六:实训总结************************

下面就从这几个方面进行论述:

:实训项目8路彩灯控制器的设计。

:实训内容:

1、彩灯明暗变换节拍为0.25S和0.5S,两种节拍交替运行。

 2、演示花型3种:

   (1)从左向右顺次序亮,全亮后逆次序渐灭;

   (2)从中间到两边对称地渐亮,全亮后仍由中间向两边灭;

…… …… 余下全文

篇七 :电子电路EDA实训心得

心得

不到一周的EDA实训就这样结束了,虽然时间有些短暂,学习的有些仓促,但是这次实训我是认真的。我没有像以往一样单一的照猫画虎,没有等待着参考别人的成果,而是一边画电路图,一边分析原理,遇到不会的,自己先勇于尝试,然后与同学交流。虽然有很多地方仍然不是很明白,但是自己至少尽心尽力了。

初次使用Multisim软件,加之又是英文版的,会很吃力,我们可能找不准元器件,可能因不懂它的属性而用错,像这样的问题不是没出现过,就在完成实训第一题目时这些错误就出现了。当时因为用错电阻的属性,导致仿真出的波形与别人不同,然而这个问题在当时困扰了我和同学很久,一直找不出问题出在哪里,后来还好有老师的指导,才找出问题的所在(我们用的是电流型电阻)。

实训内容包括了对电路、模拟电子、数字电路的简单操作,我们通过Multisim软件画出电路图,用虚拟的仪表对电路参数进行测量,用虚拟示波器对电路输入输出波形进行观测,这不仅让我们熟悉使用该软件,同时体验软件仿真在电路分析中的重要作用,利用该软件不仅可以准确测量各参量,还可帮助我们测试电路的性能。它确实很方便实用。

虽然有了这种强大软件的帮助,但是对于我们这些初学者来说,必须学会自己分析电路原理,来判断测试结果。电路、模电、数电是一年前学的,或许是因时间长,好多知识点被遗忘了,或许是当时就没将这三门功课学好,对知识点的生疏,导致实训的进行并不是很顺利,有时半天分析不出一个原理图。

实训时间很短,该软件的学习过程还很长,我不希望自己因实训结束而停止对其的认识和学习。写到这,我想起前几天一位留学回国的姐说过的话:“你现在所学的那些专业软件,你必须深入了解和学习;就学校进行一到两礼拜的学习是远远不够的,自己课后必须加强学习”。之前的实训机会已经被荒废了,现在的机会自己应该好好珍惜。大学的美好时光所剩不多,如果觉得自己之前没有尽心尽力,那么接下来的时间自己好好珍惜吧。 最后,感谢本次实训过程中给予我帮助的老师和同学,谢谢你们。

…… …… 余下全文

篇八 :EDA实习报告

北华大学EDA实习报告

实习名称:运算电路设计

专业:电子信息科学与技术

班级:电子11­­­­-1

学号:20111601010109

姓名:李亮

实习日期:2014/3/10-21­­­­­­­­­­­­­

前言

本文介绍了一个简单的计算器的设计,该设计采用了现场可编程逻辑器件FPGA设计,并基于VHDL语言实现加减乘除功能,并用十进制显示LCD1602上。系统由计算部分、输入部分、选择部分、输出部分组成,计算部分为加法器、减法器、乘法器和除法器组成。使用Altera公司的QuartusII开发软件进行功能仿真,并下载到试验箱,用实验箱上的按键开关模拟输入,用LCD显示十进制计算结果。通过外部按键可以完成四位二进制数的加、减、乘、除四种运算功能,其结果简单,易于实现。

目录

一.实验目的与要求... 3

二.流程图... 4

三。软件介绍……………………………………………………………………………………….4

四.各个模块... 5

(1)加法器模块... 5

1、加RTL图... 5

2、加法器程序... 6

        3程序说明……………………………………………………………………………… 6

(2)减法器模块... 6

1、减RTL图... 9

2、减法器程序... 6

3程序说明…………………………………………………………………………….  8

(3)乘法器模块... 8

1乘RTL图... 8

3、乘法器程序... 9

3、程序说明... 9

(4)除法器模块... 9

1、除RTL图... 9

…… …… 余下全文