篇一 :EDA课程设计心得体会

这次EDA课程设计历时两个星期,在整整两个星期的日子里,可以说是苦多于甜,但是可以学的到很多很多的东西,同时不仅可以巩固以前所学过的知识,而且学到了很多在书本上所没有学到过的知识。通过这次设计,进一步加深了对EDA的了解,让我对它有了更加浓厚的兴趣。特别是当每一个子模块编写调试成功时,心里特别的开心。但是在编写顶层文件的程序时,遇到了不少问题,特别是各元件之间的连接,以及信号的定义,总是有错误,在细心的检查下,终于找出了错误和警告,排除困难后,程序编译就通过了,心里终于舒了一口气。在波形仿真时,也遇到了一点困难,想要的结果不能在波形上得到正确的显示:在设定输入的时钟信号后,数字秒表开始计数,但是始终看不到秒和小时的循环计数。后来,在数十次的调试之后,才发现是因为输入的时钟信号对于器件的延迟时间来说太短了。经过屡次调试,终于找到了比较合适的输入数值:时钟周期设置在15秒左右比较合适。另外,Endtime的值需要设置的长一点:500us左右,这样就可以观察到完整的仿真结果。

其次,在连接各个模块的时候一定要注意各个输入、输出引脚的线宽,因为每个线宽是不一样的,只要让各个线宽互相匹配,才能得出正确的结果,否则,出现任何一点小的误差就会导致整个文件系统的编译出现错误提示,在器件的选择上也有一定的技巧,只有选择了合适当前电路所适合的器件,编译才能得到完满成功。

通过这次课程设计使我懂得了理论与实际相结合是很重要的,只有理论知识是远远不够的,只有把所学的理论知识与实践相结合起来,从理论中得出结论,才能真正为社会服务,从而提高自己的实际动手能力和独立思考的能力。在设计的过程中遇到问题,可以说得是困难重重,这毕竟第一次做的,难免会遇到过各种各样的问题,同时在设计的过程中发现了自己的不足之处,对以前所学过的知识理解得不够深刻,掌握得不够牢固。

总的来说,这次设计的数字秒表还是比较成功的,在设计中遇到了很多问题,最后在老师的辛勤的指导下,终于游逆而解,有点小小的成就感,终于觉得平时所学的知识有了实用的价值,达到了理论与实际相结合的目的,不仅学到了不少知识,而且锻炼了自己的能力,使自己对以后的路有了更加清楚的认识,同时,对未来有了更多的信心。最后,对给过我帮助的所有同学和各位指导老师再次表示忠心的感谢!

…… …… 余下全文

篇二 :EDA课程设计报告

EDA 课程设计

------A/D0809转换控制设计

一、 课题要求:

二、 1.利用实验箱上FPGA芯片控制ADC0809的时序,进行AD转换,然后将ADC0809转换后的数据以十六进制的数据显示出来。

三、 2.     实现时必须严格遵守ADC0809的工作时序,在编写代码时要注意。对选定的通道输入一个模拟量,调节电位器改变输入的模拟量。

关键字:AD0809 VHDL

四、 实验目的

1、 了解ADC0809的工作原理。

2、 了解用扫描方式驱动七段码管显示的工作原理。

3、 了解时序电路FPGA的实现。

4、 学习用VHDL语言来描述时序电路的过程。

五、 硬件要求

1、 可变时钟源。

2、 七段码显示。

3、 A/D转换芯片ADC0809

4、 主芯片EP1K10TC100—3。

5、 三个拨动开关,进行地址选择。

六、 实验原理

该实验是利用FPGA控制ADC0809的时序,进行AD转换,然后将ADC0809

转换后的数据以十六进制的数据显示出来。

ADC0809是8位8通道的逐次比较式AD转换芯片。该芯片管脚如右图所示。芯片引脚及其说明如下:

D0-D7(2-8-2-1):8位双先三态数据线。

ADDA、ADDB、ADDC:通道选择地址。

OUTPUT ENABLE:输出允许控制。

Clock:ADC转换时钟。

Vref+、Vref-:正负参考电压。

IN0-IN7:8个模拟信号输入通道。

START:AD转换启动信号。

EOC:AD转换结束信号。

ALE:通道地址锁存信号。             图1.  AD0809引脚图

…… …… 余下全文

篇三 :eda课程设计

前言

 伴随着科技时代的步伐,现代电子产品正在以前所未有的革新速度,向着功能多样化、体积最小化、功耗最低化的方向迅速发展。以大规模可编程逻辑器件为基础,并且广泛运用现代化计算机技术的一门最新技术——EDA,应运而生。他的诞生,大大提高了电子设计自动化的程度,并且缩短了产品开发的周期,提高了产品的竞争力,进而引发了现代电子产品设计领域里的一场革命。

  他的应用前景更是让人喜出望外。首先,EDA技术,将广泛应用于高校电类专业的实践教学工作中。对于电子技术课程设计,特别是数字系统性的课题,在EDA实验室不许添加任何心的东西,即可设计出各种比较复杂的数字系统,并且借助于实验开发系统可以方便地进行硬件验证,如设计频率计、交通控制灯、秒表等。其次,EDA技术也将在科研工作和新产品的开发中应用。由于可编程逻辑器件性能价格比的不断提高,开发软件功能的不断完善,EDA技术具有软件的方式设计硬件,可用有关软件进行各种仿真,系统可现场编程、在线升级,整个系统可集成在一个芯片上等特点,这将使其广泛应用于科研工作和新产品的开发工作中。再次,EDA技术也将在专用集成电路的开发另约发挥举足轻重的作用。可编程期间制作厂家可按照一定的规格以通用器件形式大量生产,用户可按通用器件从市场上选购,然后按自己的要求通过编程实现专用集成电路的功能。因此,对于集成电路制造技术与世界先进的集成电路制造技术尚有一定产距的我国,开发具有自主知识产权的专用集成电路,已成为相关专业人员的重要任务。最后,EDA技术也将为传统几点设备的升级换代和技术改造带来全新的空气。利用EDA技术进行传统机电设备的电气控制系统的重新设计或技术改造,可以大大缩短周期,降低设计成本,并且能够提高产品或设备的性能,缩小产品体积,提高产品的技术含量。提高产品的附加值。

 

目录

…… …… 余下全文

篇四 :EDA课程设计

 EDA课程设计

实验1多功能数字电子钟

1.1 实验目的

1.2 实验仪器与器材

1.EDA开发软件                                            一套

2.微机                                                一台

3.实验开发系统                                                一台

…… …… 余下全文

篇五 :EDA课程设计

EDA8路彩灯控制系统VHDL

程序设计

院系:@@

专业 0802

学号############

姓名@@@

一、设计要求

1、设计一个七段数码管动态扫描电路。数码管个数为8个,共阴极接法。

2、设计一电路,控制上述电路实现“12345678”八个数字的显示,要求显示方式为:

(1)自左至右逐个点亮数码管,最后全亮;再重复以上动作。

(2)自左至右点亮数码管,每次只点亮一个,最后全息灭,再重复以上动作。

(3) 先中间两个点亮,再依次向外点亮;全亮后,再依次向中间熄灭;重复上述步骤。

二、设计方案()

整个系统共有三个输入信号:控制彩灯节奏快慢的基准时钟信号CLK,彩灯变化频率选择开关xuanping,彩灯花样选择开关xuanhuayang;共有8个输出信号out[7..0],分别用于控制八路彩灯。系统整体框图如下:
 

主要模块组成:时序控制电路模块和显示电路模块。时序控制电路模块由分频和选频两部分构成,可以控制彩灯变化的快慢。

三,设计过程

1、主程序:8路彩灯

LIBRARY ieee;

USE ieee.std_logic_1164.ALL;

use ieee.std_logic_arith.all;

use ieee.std_logic_unsigned.all;

ENTITY color8 IS

    PORT (clk,rst:IN std_LOGIC;

             sel:in std_LOGIC_VECTOR(1 DOWNTO 0);

            aout:OUT std_LOGIC_VECTOR(7 DOWNTO 0));

…… …… 余下全文

篇六 :EDA课程设计最终版

EDA课程设计

出租车自动计费器

院系:    信息工程学院

班级:   11级电科2

学号:   201114580229

姓名:        

同组:        

指导:           

任务书

一、用VHDL运用层次化设计方法设计一个小型数字系统

题目:简易出租车计价器

二、说明书内容:

1.系统设计要求(功能)

2.系统设计方案(包括顶层电路图)

3.VHDL源程序及仿真波形图

4.课程设计注释

5.设计解释、说明

6.独特之处

目录:

第一节:设计要求……………………………………4

第二节:系统设计方案………………………………4

                  1)、思路…………………………………...4

                  2)、设计…………………………………...4

                                  ①、输入端口…………………….5

…… …… 余下全文

篇七 :EDA课程设计

华东交通大学理工学院课程设计报告

EDA课程设计

华东交通大学理工学院

课 程 设 计 报 告 书

所属课程名称 实用EDA技术与VHDL教程

题 目 8位16进制频率计设计 分 院

专业班级

学 号 学生姓名 指导教师 谭尾琴

20xx年6月24日

2

EDA课程设计

1 华东交通大学理工学院课程设计报告

课程设计(论文)评阅意见

EDA课程设计

评阅人 谭尾琴 20xx年 月 日

第 1 页

2 华东交通大学理工学院课程设计报告

目 录

一、任务设计与要求 ··················· 3

二、设计方案介绍 ···················· 4

三、 VHDL设计部分 ··················· 5

3.1 测频控制电路FTCTRL ···············

3.2锁存器REG32B ···················

3.3计数器COUNTER32B ·················

3.4顶层文件 ·····················

四、 模拟调试部分 ···················

五、 设计仿真运行过程中遇到的问题及解决措施 ······

六、设计心得 ·····················

七、参考文献 ·····················

第 2 页 5 7 8 9 11 14 15 16

…… …… 余下全文

篇八 :EDA课程设计