《数的产生、十进制计数法》教学设计

时间:2024.3.31

《数的产生、十进制计数法》教学设计


第二篇:数的产生和十进制计数法基于课标教学设计


数的产生和十进制计数法

教材来源:小学四年级《数学(上册)》人教版义务教育课程标准实验教科书

内容来源:小学四年级《数学(上册)》第一单元

主    :数的产生和十进制计数法

课    时:共6课时第1课时

授课对象:四年级学生

者:常英杰/郑州航空港区吕槐小学

目标确定依据

1、基于课程标准的思考

通过介绍数的产生,给学生建立自然数的概念,并了解自然数的一些性质和特点;理解掌握十进制计数法的含义,认识含有三级数位的数位顺序表及相应的计数单位。能够展示出自己发现的依据,达到知识的运用。

2、教材分析

本单元主要教学通过探索、思考、总结等活动,让学生体验数的产生过程。介绍数的产生,给学生建立自然数的概念,并了解自然数的一些性质和特点;理解掌握十进制计数法的含义,认识含有三级数位的数位顺序表及相应的计数单位。能够展示出自己发现的依据,达到知识的运用。

3、学情分析

学生已经学习使学生了解中国古代数学的伟大成就,激发学生的民族自豪感。进一步培养学生的解题能力。

因此本节课开展独立探究和小组合作交流的数学活动,让学生加深数的产生和十进制计数法。

目标

1.通过介绍数的产生,给学生建立自然数的概念,并了解自然数的一些性质和特点;理解掌握十进制计数法的含义,认识含有三级数位的数位顺序表及相应的计数单位。

2.通过探索、思考、总结等活动,让学生体验数的产生过程。

3.使学生了解中国古代数学的伟大成就,激发学生的民族自豪感。

评价任务

1、在做题过程中,能发现数的产生;能够发现十进制计数法。

2、让学生体验数的产生过程。理解掌握十进制计数法的意义。

教学过程

反思:

更多相关推荐:
《数的产生和十进制计数法》 教学反思

数的产生和十进制计数法教学反思本小节内容主要讲了数的产生和十进制计数法从古巴比伦古罗马和中国的数字逐渐演变成现在简单的阿拉伯数字12345这些数也叫自然数我在本单元的教学中主要采用的是创设情境合作交流的方法让学...

数的产生与十进制计数法教学反思

数的产生与十进制计数法教学反思李英中心小学汤善兵这节课主要是让学生通过学习了解了数的发展历史学生接触的都是文化性的知识对这些知识的学习会产生浓厚的兴趣本节课是在学生已经掌握亿以内数的计数单位和读法的基础上把计数...

数的产生和十进制计数法教学反思

数的产生和十进制计数法教学反思这是一节新授课这节课主要是让学生知道数的产生认识亿级的数掌握千亿以内的数位顺序表和十进制计数法会根据数级正确地读千亿以内的数在我粗略地备教材后把以上教学目标提交给学生让学生带着问题...

《数的产生和十进制计数法》教学反思

数的产生和十进制计数法教学反思在本节课中我将数的产生和十进制计数法贯穿在数学发展历史的背景中从而使学生自主完成知识体系的建构从中激发了学生的学习兴趣使学生深刻理解了数学来源于生活而又高于生活的道理感受到数学就在...

优质课《数的产生和十进制计数法》说课稿

优质课数的产生和十进制计数法说课稿西苑小学四三陈江霞一教材分析本课是义务教育课程标准实验教科书小学数学四年级上册第一单元的内容教材中只举了少数例子进行说明使学生对数的产生有一个初步的认识教材展示了古代人们如何计...

优质课《数的产生和十进制计数法》教学设计

数的产生和十进制计数法教学设计课题数的产生和十进制计数法教学内容人教版四上数的产生及十进制计数法教学目的1了解数的产生2初步认识自然数3认识亿级的数的计数单位掌握千亿以内的数位顺序表和十进制计数法教学重难点认识...

数的产生、十进制计数法”教学设计

数的产生十进制计数法教学设计宁武县实验小学高级教师张俊文设计理念数的产生和发展经历了一个漫长的过程限于教学时间和学生的接受能力教材中只举了少数简单的事例进行说明使学生对数的产生有一个初步的认识教材展示了古代人们...

数的产生和十进制计数法

日期年月日星期12

五课时《数的产生、十进制计数法》课后练习

数的产生十进制计数法一填空1按照我国的计数习惯从右起每个数位是一级万级的四个数位是2每相邻两个计数单位间的进率都是这种计数方法叫做3从个位起第位是万位第位是亿位4有一个整数它里面有10个一千万这个数是5百千万都...

数的产生和十进制计数法

灵宝市第三小学学案教学过程联教学过程院院

《数的产生和十进制计数法》综合练习2

综合练习一填空1按照我国的计数习惯从右起每个数位是一级万级的四个数位是2每相邻两个计数单位间的进率都是这种计数方法叫做3从个位起第位是万位第位是亿位4有一个整数它里面有10个一千万这个数是5百千万都是计数单位除...

数的产生和十进制计数法

数的产生和十进制计数法教学设计教学内容人教版四上数的产生及十进制计数法教学目的1了解数的产生2初步认识自然数3认识亿级的数的计数单位掌握千亿以内的数位顺序表和十进制计数法教学重难点认识亿级的计数单位掌握千亿以内...

数的产生和十进制计数法教学反思(28篇)