安尧-20xx434158-计算机组成原理8位加法器实验报告

时间:2024.5.8

河北大学 计算机组成原理 实验报告

学院 计算机科学与技术学院 年级 2013 专业 网络工程 学号姓名 安尧

实验日期 2015-10-22 实验地点 c1-228 指导教师 左丽娜 实验项目 8位行波进位加法器 成绩

一、实验目的:

1. 理解加法器的原理。

2.掌握各种常见的加法器的设计方法。

二、实验原理:

本实验中,用8个全加器实现8位的串行波进位加法器。所用的全加器内部逻辑如全加器的两个基本公式,如图1。将8个全加器串联起来,也就是说低位全加器的进位输出连到相邻的高位全加器的进位输入,就构成了8位加法器。图2所示的是实验中用到8位加法器,A+B的和sum以及最后的进位Cout。

电路:

安尧20xx434158计算机组成原理8位加法器实验报告

安尧20xx434158计算机组成原理8位加法器实验报告

功能:

实现8位数相加A+B=sum(CarryOut)。

描述方法:

端口

8位加法器端口

port (

ci : in std_logic; //输入

a,b :in std_logic_vector(7 downto 0); //输入 s : out std_logic_vector(7 downto 0); //输出

co :out std_logic

);

信号

signal aa:std_logic_vector(8 downto 0); // aa bb ss 直接设置为九位的 不用考虑八位进位问题。

signal bb:std_logic_vector(8 downto 0);

signal ss:std_logic_vector(8 downto 0);

三、实验步骤:

(1)打开QuartusⅡ,参照3.4节,安装ByteBlasterⅡ。

(2)将子板上的JTAG端口和PC机的并行口用下载电缆连接。打开试验台电源。

(3)执行Tools→Programmer命令,将adder8.sof下载到FPGA中,注意在执行Programmer

中,应在program/configure下的方框中打钩,然后下载。

(4)在试验台上通过模式开关选择FPGA-CPU独立的调试模式010.

四、实验现象及分析:

本实验实现八位操作数相加A+B=sum(CarryOut)。

输入输出规则对应如下:

输入的8位操作数A7~A0对应的开关SD15~SD8.

输入的8位操作数B7~B0对应开关SD7~SD0.

最低位进位Cin对应开关SA0.

和sum7~sum0对应灯A7~A0,最高位进位CarryOut对应灯A8. 如(00000000)+(11111111)=(11111111),CarryOut=0.

(1)波动开关SD15~SD8输入8位操作数I(A7~A0)00000000,SD7~SD0输入8位操作数(B7~B0)111111111,SA0输入Cin。

(2)观察和sum(灯A7~A0),CarryOut(灯A8),填入表6-14中,并检查结果是否正确。

安尧20xx434158计算机组成原理8位加法器实验报告

五、实验过程中遇到问题及解决方法:

实验过程中在8个全加器串联的时候,遇到问题;在引脚配置时遇到问题。

解决方法:仔细的分析8位全加器的电路图,理清思路,从而解决问题。

六、实验源码:(加注释)

library ieee;

use ieee.std_logic_unsigned.all; use ieee.std_logic_1164.all;

entity adder8 is

port

(

ci : in std_logic; //输入

a,b :in std_logic_vector(7 downto 0); //输入 s

: out std_logic_vector(7 downto 0);

//输出

co :out std_logic ); end entity;

architecture rtl of adder8 is

signal aa:std_logic_vector(8 downto 0); // aa bb ss 直接设置为九位的 不用考虑进位问题。 signal bb:std_logic_vector(8 downto 0);

signal ss:std_logic_vector(8 downto 0);

begin

aa <= '0' & a;

bb <= '0' & b;

ss<=aa+bb+ci; // ci表示输入; aa,bb为要加的数。 s <= ss(7 downto 0);

co<=ss(8); //co为进位

end rtl;


第二篇:《计算机组成原理》实验报告一


《计算机组成原理》实验报告一

一、实验目的:

编写程序、上机调试、运行程序是进一步学习和掌握汇编语言程序设计的必要手段。

通过本次实验,学习、掌握运行汇编程序的相关知识。

二、实验内容:

1、  熟悉实验用微机的软、硬件配置

(1)硬件:Intel Celeron 500GHz CPU、128M内存(8M作共享显存)、intel810芯片主板、集成i752显卡、maxtro20G硬盘、ps/2接口鼠标、PS/2接口键盘。

(2)软件:

     DOS 操作系统

Windows98 se

MASM汇编语言程序

2、  熟悉运行汇编语言所需的应用程序

汇编程序使MASM

连接程序使用 LINK程序

调试程序使用DEBUG程序

3、  熟悉汇编语言源程序上机操作过程

(1)         编辑源文件 (选择可使用的文本编辑器)

(2)         汇编源程序文件

(3)         连接目标文件

(4)         运行可执行文件

4、  汇编操作举例

用edit编辑myprog.asm文件;(见下图)

用MASM.exe编译myprog.asm生成myprog.obj文件;

C:\masm\bin> masm.exe

由图中可以看出:

0 个警告错误

0个严格错误

汇编通过,生成mygrog.obj目标文件

(如果有严格错误,汇编不能通过,必须返回编辑状态更改程序。)

用link.exe命令链接myhprog.obj生成myprog.exe文件!

C:\masm\bin> link.exe

C:\masm\bin> myprog.exe

运行程序结果为:

屏幕显示“Hi! This is a dollar sign terminated string.”

三、实验总结:

1、可以在DOS或Windows状态编辑汇编源程序

2、可以使用EDIT 或记事本编辑汇编源程序,源程序必须以.asm为扩展名。

在记事本中保存文件时,可以加双引号“myprog.asm”,文件名就不会出现myprog.asm.txt的错误

3、熟悉相关的DOS 命令

   cd  进入子目录

mkdir 建立子目录

xcopy  *.*  /s  拷贝当前目录下所有文件及子目录

format a: 格式化A盘

 4、在Windows 系统下运行汇编程序,有时会有问题,建议大家熟悉DOS命令,DOS编辑工具,在DOS状态下运行汇编程序。

更多相关推荐:
《计算机组成原理》运算器实验报告

《计算机组成原理》实验报告学院:专业:班级学号:AP0804114学生姓名:实验日期:指导老师:成绩评定:五邑大学信息学院计算机组成原理实验室实验一一、实验名称:运算器实验二、实验目的:1、掌握运算器的组成及工…

计算机组成原理运算器实验报告

计算机组成原理实验一运算器实验一实验目的1掌握简单运算器的数据传输方式2验证运算功能发生器74LS181及进位控制的组合功能二实验要求完成不带进位及带进位算数运算实验逻辑运算实验了解算数逻辑运算单元的运用三实验...

计算机组成原理实验-运算器组成实验报告

曾国江计算机组成原理实验报告计算机组成原理课程实验报告93运算器组成实验姓名曾国江学号系别计算机工程学院班级网络工程1班指导老师完成时间评语得分1曾国江计算机组成原理实验报告93运算器组成实验一实验目的1熟悉双...

计算机组成原理实验报告-运算器组成

计算机硬件实验室实验报告

计算机组成原理算术逻辑运算实验报告

算术逻辑运算实验报告算术逻辑运算实验报告课程名称计算机组成原理姓名学号实验名称算术逻辑运算实验专业软件工程班级指导教师王武实验日期20xx年10月12日实验成绩批阅教师签字第1页共5页张三李四一班算术逻辑运算实...

《计算机组成原理》运算器实验报告

一实验名称运算器实验二实验目的1掌握运算器的组成及工作原理2熟悉ALU执行算术运算与逻辑运算的具体实现过程3掌握ALU算术与逻辑运算的控制方法4了解多片ALU的组合扩展功能和进位链的实现三实验内容1两16位操作...

计算机组成原理运算器组成实验报告

计算机组成原理实验报告实验名称运算器组成实验专业软件工程学院计算机与软件学院姓名徐振兴班级2学号20xx1344069指导老师任勇军实验日期20xx524得分一实验类型原理性分析性二实验目的熟悉逻辑测试笔的使用...

实验一 运算器组成原理(计算机组成原理报告模板)

实验三数据通路组成实验班号姓名学号完成时间一实验目的1将双端口通用寄存器堆和双端口存储器模块联机2进一步熟悉计算机的数据通路3掌握数字逻辑电路中故障的一般规律以及排除故障的一般原则和方法4锻炼分析问题与解决问题...

计算机组成原理运算器实验

计算机硬件实验室实验报告2456

计算机组成原理实验报告2-运算器实验

22运算器实验姓名孙坚学号134173733班级13计算机日期20xx417一实验要求利用CPTH实验仪的K16K23开关做为DBUS数据其它开关做为控制信号将数据写累加器A和工作寄存器W并用开关控制ALU的运...

《计算机组成原理》实验

计算机组成原理实验一实验的性质任务和基本要求一本实验课的性质任务计算机组成原理是计算机科学与技术网络工程专业的核心专业基础课本课程旨在培养学生对计算机系统的分析设计能力同时为后续专业课程的学习打下坚实的基础实验...

计算机组成原理实验报告(基本运算器实验:移位运算)

池州学院数学计算机科学系实验报告专业计算机科学与技术班级实验课程计算机组成原理姓名学号实验室硬件实验室同组同学实验时间20xx年4月3日指导教师签字成绩基本运算器实验移位运算一实验目的和要求1了解运算器的组成结...

计算机组成原理运算器实验报告(26篇)