计算机组成原理运算器组成实验报告

时间:2024.3.31

计算机组成原理实验报告

实验名称    运算器组成实验     专业      软件工程     学院   计算机与软件学院  

姓名   徐振兴    班级  (2)   学号    20111344069    指导老师     任勇军      

实验日期   2013.5.24            得分               

一、实验类型

原理性+分析性

二、实验目的

⑴熟悉逻辑测试笔的使用方法。

⑵熟悉TEC-8 模型计算机的节拍脉冲T1、T2、T3;

⑶熟悉双端口通用寄存器组的读写操作;

⑷熟悉运算器的数据传送通路;

⑸验证74181 的加、减、与、或功能;

⑹按给定的数据,完成几种指定的算术、逻辑运算。

三、实验设备

⑴TEC-8 实验系统                 1 台

⑵双踪示波器                     1 台

⑶直流万用表                     1 块

⑷逻辑测试笔(在TEC-8 实验台上) 1 支

四、实验步骤

1.实验准备

将控制器转换开关拨到微程序位臵,将编程开关设臵为正常位臵,将开关DP拨到向上位臵。打开电源。

2.用逻辑测试笔测试节拍脉冲信号T1、T2、T3

       ⑴将逻辑测试笔的一端插入TEC-8实验台上的?逻辑测试笔?上面的插孔中,另一端插入?T1?上方的插孔中。

       ⑵按复位按钮CLR,使时序信号发生器复位。

       ⑶按一次逻辑测试笔框内的Reset按钮,使逻辑测试笔上的脉冲计数器复位,2个黄灯D1、D0均灭。

       ⑷按一次启动按钮QD,这时指示灯D1、D0的状态应为01B,指示产生了一个T1脉冲;如果再按一次QD按钮,则指示灯D1、D0的状态应当为10B,表示又产生了一个T1脉冲;继续按QD按钮,可以看到在单周期运行方式下,每按一次QD按钮,就产生一个T1脉冲。

       ⑸用同样的方法测试T2、T3。

     3.进行加、减、与、或实验

       ⑴设臵加、减、与、或实验模式 按复位按钮CLR,使TEC-8实验系统复位。指示灯μA5~μA0显示00H。将操作模式开关设臵为SWC=1、SWB=0、SWA=1,准备进入加、减、与、或实验。 按一次QD按钮,产生一组节拍脉冲信号T1、T2、T3,进入加、减、与、或实验。        ⑵设臵数A 指示灯μA5~μA0显示0BH。在数据开关SD7~SD0上设臵数A。在数据总线DBUS指示灯D7~D0上可以看到数据设臵的正确不正确,发现错误需及时改正。设臵数据正确后,按一次QD按钮,将SD7~SD0上的数据写入R0,进入下一步。

       ⑶设臵数B 指示灯μA5~μA0显示15H。这时R0已经写入,在指示灯B7~B0上可以观察到R0的值。在数据开关SD7~SD0上设臵数B。设臵数据正确后,按一次QD按钮,将SD7~SD0上的数据写入R1,进入下一步。

       ⑷进行加法运算 指示灯μA5~μA0显示16H。指示灯A7~A0显示被加数A(R0),指示灯B7~B0显示加数B(R1),D7~D0指示灯显示运算结果A+B。按一次QD按钮,进入下一步。

       ⑸进行减法运算 指示灯μA5~μA0显示17H。这时指示灯C(红色)显示加法运算得到的进位C,指示灯Z(绿色)显示加法运算得到的结果为0信号。指示灯A7~A0显示被减数A(R0),指示灯B7~B0显示减数B(R1),指示灯D7~D0显示运算结果A-B。按一次QD按钮,进入下一步。

       ⑹进行与运算 指示灯μA5~μA0显示18H。这时指示灯C(红色)显示减法运算得到的进位C,指示灯Z(绿色)显示减法运算得到的结果为0信号。 指示灯A7~A0显示数A(R0),指示灯B7~B0显示数B(R1),指示灯D7~D0显示运算结果A and B。按一次QD按钮,进入下一步。

       ⑺进行或运算

指示灯μA5~μA0显示19H。这时指示灯Z(绿色)显示与运算得到的结果为0信号。指示灯C保持不变。指示灯A7~A0显示数A(R0),指示灯B7~B0显示数B(R1),指示灯D7~D0显示运算结果A or B。按一次QD按钮,进入下一步。

       ⑻结束运算 指示灯μA5~μA0显示00H。这时指示灯Z(绿色)显示或运算得到的结果为0信号。指示灯C保持不变。 按照上述步骤,对要求的7组数据进行运算。

五、实验结果

运算器组成实验结果记录表

六、实验心得

    初步了解了运算器的操作和执行过程,提高了自己的动手能力,同时加强了理论了解


第二篇:计算机组成原理实验报告-存储器


计算机硬件实验室实验报告

课程名称:

更多相关推荐:
《计算机组成原理》运算器实验报告

《计算机组成原理》实验报告学院:专业:班级学号:AP0804114学生姓名:实验日期:指导老师:成绩评定:五邑大学信息学院计算机组成原理实验室实验一一、实验名称:运算器实验二、实验目的:1、掌握运算器的组成及工…

计算机组成原理运算器实验报告

计算机组成原理实验一运算器实验一实验目的1掌握简单运算器的数据传输方式2验证运算功能发生器74LS181及进位控制的组合功能二实验要求完成不带进位及带进位算数运算实验逻辑运算实验了解算数逻辑运算单元的运用三实验...

计算机组成原理实验-运算器组成实验报告

曾国江计算机组成原理实验报告计算机组成原理课程实验报告93运算器组成实验姓名曾国江学号系别计算机工程学院班级网络工程1班指导老师完成时间评语得分1曾国江计算机组成原理实验报告93运算器组成实验一实验目的1熟悉双...

计算机组成原理实验报告-运算器组成

计算机硬件实验室实验报告

计算机组成原理算术逻辑运算实验报告

算术逻辑运算实验报告算术逻辑运算实验报告课程名称计算机组成原理姓名学号实验名称算术逻辑运算实验专业软件工程班级指导教师王武实验日期20xx年10月12日实验成绩批阅教师签字第1页共5页张三李四一班算术逻辑运算实...

《计算机组成原理》运算器实验报告

一实验名称运算器实验二实验目的1掌握运算器的组成及工作原理2熟悉ALU执行算术运算与逻辑运算的具体实现过程3掌握ALU算术与逻辑运算的控制方法4了解多片ALU的组合扩展功能和进位链的实现三实验内容1两16位操作...

计算机组成原理实验报告(基本运算器实验:移位运算)

池州学院数学计算机科学系实验报告专业计算机科学与技术班级实验课程计算机组成原理姓名学号实验室硬件实验室同组同学实验时间20xx年4月3日指导教师签字成绩基本运算器实验移位运算一实验目的和要求1了解运算器的组成结...

计算机组成原理实验报告2-运算器实验

22运算器实验姓名孙坚学号134173733班级13计算机日期20xx417一实验要求利用CPTH实验仪的K16K23开关做为DBUS数据其它开关做为控制信号将数据写累加器A和工作寄存器W并用开关控制ALU的运...

计算机组成原理运算器实验

计算机硬件实验室实验报告2456

实验一 运算器组成原理(计算机组成原理报告模板)

实验三数据通路组成实验班号姓名学号完成时间一实验目的1将双端口通用寄存器堆和双端口存储器模块联机2进一步熟悉计算机的数据通路3掌握数字逻辑电路中故障的一般规律以及排除故障的一般原则和方法4锻炼分析问题与解决问题...

计算机组成原理实验报告

计算机组织与体系结构实验报告班级031013学号03101283姓名黄辉煌实验地点E区311实验时间20xx611实验一存储器实验一实验目的1掌握FPGA中lpmROM的设置作为只读存储器ROM的工作特性和配置...

《计算机组成原理》实验报告 静态存储器和综合设计

计算机组成原理实验报告得分

计算机组成原理运算器实验报告(26篇)