VHDL实验报告——3-8译码器(免费哦~)

时间:2024.3.19

上机实验报告


第二篇:VHDL实验报告3-8译码器


3-8译码器实验报告

实验题目:EDA软件QuartusII 5.1的使用。

一、实验目的与要求:

1、通过3:8译码器的设计,熟悉ALTERA公司EDA设计工具软件QuartusII 5.0。

2、熟悉原理图输入及仿真步骤。

3、掌握组合逻辑电路的静态测试方法。

4、初步了解可编程器件设计的全过程。

5、理解硬件描述语言和具体电路的映射关系。

二、实验步骤与内容:

1、创建工程。

2、新建文件夹。

3、画出正确的原理图,保存,编译。

4、波形仿真,分配引脚,编译。

5、下载到试验箱,进行功能验证。

(原理图及仿真的波形界面在备注)

三、实验源程序

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITY SANBA IS

       PORT(

            D:IN STD_LOGIC_VECTOR(2 DOWNTO 0);

            G1,G2A,G2B:IN STD_LOGIC;

            Y:OUT STD_LOGIC_VECTOR(7 DOWNTO 0)

            );

END ;

ARCHITECTURE XIANI OF SANBA IS

BEGIN

PROCESS(D,G1,G2A,G2B)

BEGIN

   IF (G1='0')THEN Y<="11111111";

   ELSIF(G1='1' AND G2A='0' AND G2B='0')THEN

       IF    (D(2)='0' AND D(1)='0' AND D(0)='0')THEN Y<="01111111";

       ELSIF (D(2)='0' AND D(1)='0' AND D(0)='1')THEN Y<="10111111";

       ELSIF (D(2)='0' AND D(1)='1' AND D(0)='0')THEN Y<="11011111";

       ELSIF (D(2)='0' AND D(1)='1' AND D(0)='1')THEN Y<="11101111";

       ELSIF (D(2)='1' AND D(1)='0' AND D(0)='0')THEN Y<="11110111";

       ELSIF (D(2)='1' AND D(1)='0' AND D(0)='1')THEN Y<="11111011";

       ELSIF (D(2)='1' AND D(1)='1' AND D(0)='0')THEN Y<="11111101";

       ELSIF (D(2)='1' AND D(1)='1' AND D(0)='1')THEN Y<="11111110";

          ELSE Y<="ZZZZZZZZ";

        END IF;

       ELSE Y<="ZZZZZZZZ";

    END IF;

END PROCESS;

END;

四、实验仿真波形截图

实验原理图

 

仿真波形图:

更多相关推荐:
实验四38译码器实验(王赟)

本科学生综合性实验报告学号094090xxx姓名王赟学院物电学院专业班级物理09物理D班实验课程名称数电实验教师及职称张超开课学期至填报时间年月日云南师范大学教务处编印

3-8译码器的设计实验报告

EDA实验报告书

译码器实验报告

课程编号深圳大学实验报告课程名称数字电路实验名称译码器学院信息工程学院指导教师刘静报告人李金梁组号03学号20xx130025实验地点N102实验时间20xx年10月29日提交时间

实验一 38译码器

实验一38译码器的设计一实验目的1掌握MAXplus软件的使用方法2重点掌握原理图的编辑和仿真方法3通过38译码器的设计掌握利用EDA软件进行电子线路设计的过程二实验设备1计算机2MAXplusII软件及实验箱...

数字电路译码器实验报告

一实验目的与要求1了解和正确使用MSI组合逻辑部件2掌握一般组合逻辑电路的特点及分析设计方法3学会对所设计的电路进行静态功能测试的方法4观察组合逻辑电路的竞争冒险现象预习要求1复习组合逻辑电路的分析与设计方法2...

译码器实验报告

深圳大学实验报告课程名称学院计算机与软件学院班级实验时间实验报告提交时间教务部制深圳大学学生实验报告用纸注1报告内的项目或内容设置可根据实际情况加以调整和补充2教师批改学生实验报告时间应在学生提交实验报告时间后...

译码器实验报告

数字电路逻辑与设计实验报告姓名刘凯班级0401206学号20xx211791实验名称译码器及其应用实验目的123掌握数码管的使用方式及显示原理掌握中规模集成七段显示译码器74LS48的功能以及进行功能测试了解多...

Hamming(7,4)编译码器实验报告8.8

88Hamming74编译码器11实验目的加深理解Hamming74码的编码方法和抗干扰性能通过编程实现Hamming74码的编码算法进一步掌握按位二进制加法的实现原理12实验原理输入长度为4的任意二进制序列输...

EDA 7段数码显示译码器实验报告

专业班级10电子三班学号1020xx14姓名杨志鹏EDA技术实验报告实验项目名称7段数码显示译码器设计实验日期20xx年6月4日实验成绩实验评定标准一实验目的学习7段显示译码器设计学习VHDL的CASE语句应用...

eda第二次实验 38译码器

实验二组合逻辑电路的VHDL模型实验一实验目的1掌握组合逻辑和时序逻辑电路的设计方法2掌握组合逻辑电路的静态测试方法加深FPGA设计的过程并比较原理图输入和文本输入的优劣3了解通用同步计数器异步计数器的使用方法...

EDA七段译码器实验报告

实验目的掌握七段译码器实验设备quartusII50实验内容libraryieeeuseieeestdlogic1164allentityqdymqisportainstdlogicvector3downto0...

可编程式逻辑器件设计试验报告实验一 38译码器 昆明理工大学

昆明理工大学信息工程与自动化学院学生实验报告20xx20xx学年第2学期课程名称可编程逻辑器件开课实验室信自楼50420xx年3月22日一实验目的1熟悉Quartus环境2设置和仿真二实验内容设计一个3线8线译...

38译码器实验报告(41篇)