EDA七段译码器实验报告

时间:2024.3.31

实验目的

掌握七段译码器

实验设备

quartus II 5.0

 

实验内容

library ieee;

use ieee.std_logic_1164.all;

entity qdymq is

    port(a:in std_logic_vector(3 downto 0);

         led:out std_logic_vector(7 downto 0));

end qdymq;

architecture one of qdymq is

begin

   with a select

   led<="00111111"when"0000",

        "00000110"when"0001",

        "01011011"when"0010",

        "01001111"when"0011",

        "01100110"when"0100",

        "01101101"when"0101",

        "01111101"when"0110",

        "00000111"when"0111",

        "01111111"when"1000",

        "01101111"when"1001",

        "ZZZZZZZZ"when others;

end one;

 

             

总结

七段译码器原理图功能正确。

             

 


第二篇:七段译码器实验报告


综合实验一 七段译码器

班级  ——  姓名  ——  学号————

一、实验目的

      用VHDL语言设计七段译码器

二、实验内容

观察七段数码管的真值表,用VHDL语言设计七段译码器

三、实验方法

     采用基于FPGA进行数字逻辑电路设计的方法。

    采用的软件工具是MaxplusⅡ软件仿真平台,采用的硬件平台是Altera

     EPF10K20TI144_4的FPGA实验箱。

四、实验步骤

1. 输入源代码。打开Maxplus ,点击File -> Project -> Name ,新建工程名为“se7_decoder”,完成点击OK。然后点击File -> New ,选择Text Editor file ,完成点击OK。最后输入七段译码器的VHDL源代码并保存为当前工程名。

2.调试编译。选择芯片类型:点击Assign -> Device ,选择芯片类型为EPF10K20TI144-4 ,完成点击OK。再点击MAX+plusII下的Compiler ,直到调试成功如图 :

3.波形仿真。点击MAX+plusII -> waveform editor -> Node -> Enter nodes from SNF -> List -> => -> OK,右击各引脚,设置输入信号值、周期和结束时间,点击存盘,点击MAX+plusII -> Simulator完成波形仿真。

4.时序分析。点击MAX+plusII下的Timing Analyzer ,完成时序分析如图所示:

5. 引脚锁定。点击Assign -> Pin/Location/Chip,添加各引脚信息,再对文件重新编译一次。

6. 编程下载。连接好计算机和实验箱,打开电源。点击MAX+plusII -> Programer →Configure完成下载,验证。

实验小结:总体来说,这次实验完成的还算顺利,初步了解了一点VHDL代码的编写,好像这个代码和真值表密切相关,把他们之间的对应关系找出来就行了,其他不在范围的要用强制规定一下,应该是起排除干扰的作用吧,或者像C++抛出异常也应该可以。这样思路是很清晰,但是过程有点繁琐,不过初学者还是先做这个吧,呵呵。在波形仿真的时候遇到了一点小问题,之前的波形和真值表始终对应不上(虽说仿真成功了),最初以为是延时造成的暂时性冒险,后来发现哪段都对不上。改了好几组输入波形,都失败了。后来点开时序分析,才发现它们的延时是十几纳秒,和我设置的周期很接近。立马调大输入信号周期(改为了上百纳秒),再进行仿真,果然,问题得以解决,波形和真值表符合的很好。以前忽略了这个细节,对波形注意不够,今后应该多多重视。更要善于发现问题、解决问题。

更多相关推荐:
译码器实验报告

课程编号深圳大学实验报告课程名称数字电路实验名称译码器学院信息工程学院指导教师刘静报告人李金梁组号03学号20xx130025实验地点N102实验时间20xx年10月29日提交时间

数字电路译码器实验报告

一实验目的与要求1了解和正确使用MSI组合逻辑部件2掌握一般组合逻辑电路的特点及分析设计方法3学会对所设计的电路进行静态功能测试的方法4观察组合逻辑电路的竞争冒险现象预习要求1复习组合逻辑电路的分析与设计方法2...

数字电子线路实验报告_译码器及其应用

数电实验报告实验三译码器及其应用一实验目的1掌握译码器的测试方法2了解中规模集成译码器的功能管脚分布掌握其逻辑功能3掌握用译码器构成组合电路的方法4学习译码器的扩展二实验仪器1数字逻辑电路实验板1块274HC1...

138译码器实验报告

138译码器实验报告一实验目的与要求1掌握74HC138译码器的工作原理熟悉74HC138译码器的具体运用连接方法了解74HC138是如何译码的2认真预习本节实验内容尝试自行编写程序填写实验报告二实验设备STA...

译码器实验报告

实验2译码器及其应用一实验目的1掌握中规模集成译码器的逻辑功能和使用方法2熟悉数码管的使用二实验原理译码器是一个多输入多输出的组合逻辑电路它的作用是把给定的代码进行翻译变成相应的状态使输出通道中相应的一路有信号...

译码器实验报告

深圳大学实验报告课程名称学院计算机与软件学院班级实验时间实验报告提交时间教务部制深圳大学学生实验报告用纸注1报告内的项目或内容设置可根据实际情况加以调整和补充2教师批改学生实验报告时间应在学生提交实验报告时间后...

译码器及其应用实验报告

实验二译码器及其使用一实验目的1234掌握译码器的测试方法了解中规模集成译码器的管脚分布掌握其逻辑功能掌握译码器构成组合电路的方法学习译码器的扩展二实验设备及器件1数字逻辑电路实验板1块274HCLS20四二输...

3线8线译码器七段译码器实验报告

实验报告数据选择器设计12传感网金涛1228403019一实验目的1熟悉硬件描述语言软件的使用2熟悉译码器的工作原理和逻辑功能3掌握译码器及七段显示译码器的设计方法二实验原理译码器是数字系统中常用的组合逻辑电路...

实验三 PCM编译码器

实验四PCM编译码器一实验原理抽样定理在通信系统信息传输理论方面占有十分重要的地位抽样过程是模拟信号数字化的第一步抽样性能的优劣关系到通信设备整个系统的性能指标利用抽样脉冲把一个连续信号变为离散时间样值的过程称...

组合逻辑3-8译码器的设计实验报告

计算机科学与信息技术学院实验报告

电子设计自动化实验报告 7段数码显示译码器

电子设计自动化实验报告学号姓名实验一1实验名称7段数码显示译码器2实验目的学习7段数码显示译码器的Verilog硬件设计3实验原理7段数码是纯组合电路通常的小规模专用IC如74或4000系列的器件只能作十进制B...

6.12 指令译码器实验报告

姓名钱宇坤班级11软工学号20xx435112实验时间129实验CPU指令译码器一实验目的1理解指令译码器的作用和重要性2学习设计指令译码器二实验原理指令译码器是计算机控制器中最重要的部分所谓组合逻辑控制器就是...

译码器实验报告(45篇)