实验2 三八译码器设计

时间:2024.4.20

电子信息工程学系实验报告

课程名称:EDA技术与实验                             

实验项目名称:实验二 三八译码器设计         实验时间:2011.9.5      

班级:         姓名:      学号:     

                                                                                                                                             

:

1.熟悉ALTERA公司EDA设计工具软件max+plusⅡ。

2.掌握max+plusⅡ文本设计及其仿真。

:

    max+plusⅡ

:

1.三八译码器的工作原理

由三个输入端A,B,C和八个输出端Y0,Y1,Y2,Y3,Y4,Y5 ,Y6,Y7组成,输入输出用二进制表示。

2.原理图设计

2.文本设计

打开File点击New选择文本文件,点击OK.进行编程,再保存

3.建立工程。运行File,Project,Set Project to Current File,讲工程设置到当前文件。

4.编译工程。在 MAX+PLUS II 菜单内选择Compiler 项,选择 Start即可开始编译。

5.选择菜单“File”→“New”,在出现的“New”对话框中选择“Waveform Editor File”,按“OK”后将出现波形编辑器子窗口。选择菜单“Node ” →“Enter Nodes from SNF”,出现选择信号结点对话框。按右上侧的“List”按钮,左边的列表框将立即列出所有可以选择的信号结点,然后按中间的“=>”按钮,将左边列表框的结点全部选中到右边的列表框。按“OK”按钮,选中的信号将出现在波形编辑器中

7将波形图保存为.scf

8.选择主菜单“MAX+plus II”→“Simulator”,按下“Simulator”,出现仿真参数设置与仿真启动窗,这时按下该窗口中的“Start”按钮,即刻进行仿真运算。再点击右边的OPEN SCF,就会出现仿真结果。

析:

得:

通过实验学会了三八译码器的程序设计和原理图设计,对三八译码器有了更深的了解。


第二篇:eda第二次实验 38译码器


实验二   组合逻辑电路的VHDL模型实验

一、实验目的

1、  掌握组合逻辑和时序逻辑电路的设计方法。

2、  掌握组合逻辑电路的静态测试方法。加深FPGA设计的过程,并比较原理图输入和文本输入的优劣。

3、  了解通用同步计数器,异步计数器的使用方法。

4、  理解积分分频器的原理。

二、硬件要求

拨位开关、FPGA主芯片EP1K30QC208、LED显示模块

三、实验原理

译码器是输入数码和输出数码之间的对应关系,也就是说,“输入码和输出码之间的对应表”这应该算是设计译码器的必须条件。

译码器常用来做码和码之间的转换器,也常被用于地址总线或用作电路的控制线。

例如下面为常见的3×8译码器的真值表:

       实验中可根据需要,为3×8译码器加入使能控制脚。

       一般的分频器可获得的分频频率种类分布不均匀,积分分频,能比较好的解决这个问题。

1、分频结果=来源频率×N/(2?-1);

2、频率波形不均匀。

四、实验内容及步骤

本实验内容是完成38译码器和5/8分频器的设计,然后将3×8译码器的结果在实验箱上实现,5/8分频器则能正确仿真、显示,实验步骤如下:

1、编写3×8译码器的VHDL代码。

2、用MaxPlusII对其进行编译仿真。

3、在仿真确定无误后,选择芯片ACEX1K EP1K30QC208。

4、给芯片进行管脚绑定,在此进行编译。

5、根据自己绑定的管脚,在实验箱上对键盘接口、显示接口和FPGA之间进行正确连线。

6、给目标板下载代码,在开关输入键值,观看实验结果。

7、编写5/8分频器的VHDL代码。

8、用MaxPlusII对其进行编译仿真。

        9、使用WaveForm进行波形仿真。

五、程序代码

1. 38译码器

library ieee;

use ieee.std_logic_1164.all;

entity a3toy8 is

       port (en:in std_logic;

                a:in std_logic_vector(2 downto 0);

                b:in std_logic_vector(2 downto 0);

                y:out std_logic_vector(7 downto 0);

                YM: out std_logic_vector(7 downto 0));

end entity a3toy8;

architecture a3y8 of a3toy8 is

signal t:std_logic_vector(3 downto 0);

signal v:std_logic_vector(3 downto 0);

begin

       t <= en & a(2 downto 0);

       process(t(3 downto 0))

              begin

                     case t(3 downto 0) is

                     when "1000" => Y(7 downto 0) <= "00000001";

                     when "1001" => Y(7 downto 0) <= "00000010";

                     when "1010" => Y(7 downto 0) <= "00000100";

                     when "1011" => Y(7 downto 0) <= "00001000";

                     when "1100" => Y(7 downto 0) <= "00010000";

                     when "1101" => Y(7 downto 0) <= "00100000";

                     when "1110" => Y(7 downto 0) <= "01000000";

                     when "1111" => Y(7 downto 0) <= "10000000";

                     when others => Y(7 downto 0) <= "00000000";

                     end case;

       end process;

    v <= t;

    process(v(3 downto 0))

              begin

                     case v(3 downto 0) is

                     WHEN "1000" => YM(7 downto 0) <= "01100000";

                     WHEN "1001" => YM(7 downto 0) <= "11011010";

                     WHEN "1010" => YM(7 downto 0) <= "11110010";

                     WHEN "1011" => YM(7 downto 0) <= "01100110";

                     WHEN "1100" => YM(7 downto 0) <= "10110110";

                     WHEN "1101" => YM(7 downto 0) <= "10111110";

                     WHEN "1110" => YM(7 downto 0) <= "11100000";

                     WHEN "1111" => YM(7 downto 0) <= "11111110";

                     when others => YM(7 downto 0) <= "00000000";

                     end case;

       end process;

end architecture a3y8;

本代码是通过控制拨动开关来控制led灯,并且在数码管上显示发亮的是第几盏led。

时序图仿真:

2.5/8分频器

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

entity ch5_8 is

port (clk:in std_logic;

         c_out:out std_logic);

end entity ch5_8;

architecture one of ch5_8 is

 signal dly:std_logic;

 signal qn:std_logic_vector(3 downto 0);

begin

       process(clk)

              begin

                if clk'event and clk='1' then

                     dly <= qn(3);                 

                     qn<= qn + 5;                    

          end if;    

    end process;                 

     c_out<= (qn(3) xor dly) and (not clk);  

end architecture one;

时序图:

六、思考:如果要设计7/8分频器,需要如果进行改动?总结设计方法。

实现7/8分频只需把语句“qn<=qn+5”改成“qn<=qn+7”即可

更多相关推荐:
C语言综合实验设计报告1 百行代码

C语言综合实验设计报告题目:分别统计70个学生3门功课的优秀,良,中,及格,不及格人数,再求出每个同学总成绩并对70名同学的成绩排序学院:专业:班级:姓名:设计日期:一、设计题目:分别统计70个学生3门功课的优…

田口实验设计

田口实验设计培训感想2田口方法为日本田口玄一博士依据统计学原理、方法所开发出来的一种实验方法,可协助研发工程人员以最少的实验次数,快速寻找最佳的制程参数组合条件,以大量减少实验次数,降低实验成本而提高效率。当今…

初中物理教学实验设计的心得体会

初中物理教学实验设计的心得体会遵化市第三中学初中物理是所有学科中最具有科学性的学科,每一个概念和规律、公式,都是通过物理实验得出。物理概念和规律是在大量的观察、实验的基础上,运用逻辑思维的方法,把一些事物本质的…

浅谈小学科学的实验设计与教学

浅谈小学科学的实验设计与教学三台小学游又林科学课程标准指出:“科学探究是科学学习的中心环节。”“科学探究不仅涉及提出问题、猜想结果、制定计划、观察、实验、制作、搜集证据、进行解释、表达与交流等活动,还涉及到对科…

高中生物实验设计专题复习与总结

高中生物实验设计专题复习与总结实验设计是生物实验中的一种常见题型,它能较全面的考查学生的实验知识和基本技能,分析问题和解决问题的能力以及创造能力,因而在高考中相对稳定。由于学生缺乏实验设计系统的理论知识,因此,…

微生物实验设计-醋酸菌

实验设计:醋酸菌的分离与纯化——生物科学2班秦琬莹辛吉瑀张博文一、实验目的学习醋酸菌的分离纯化、鉴定的原理。掌握平板表面涂布法、平板划线法的分离技术。二.实验原理1.菌种的分离纯化从混杂微生物群体中获得只含有某…

EDA实验设计报告流水灯

EDA实验设计报告姓名:张炫学号:20xx128085班级:11电工设计内容:设计8位流水灯的4种循环模式,并用quartusII进行编译和仿真,用LED灯实现需求。控制系统方案:四种循环模式(1)灯从右到左依…

综合性、设计性实验指导书(范本)

设计性实验指导书实验名称冷冻鱼糜及鱼糜制品的生产实验项目性质本实验是食品科学与工程专业水产品加工方向的学生在学习了水产食品加工学这门课程之后将其课堂上学习的水产品加工理论知识应用到生产实践的一个设计性试验该实验...

机能学实验设计格式和范例

机能学实验设计实验名称外源性PS和内源性PS在肺水肿疗效课题来源设计班级设计人员设计日期指导老师中的比较二实验设计方案实验设计目标拟解决的主要问题实验动物设计实验专业设计实验统计设计实验方法设计可行性分析预期结...

实验5:选择结构程序设计(实验范文)

实验3选择结构程序设计实验名称选择结构程序设计成绩实验日期年月日实验报告日期年月日一实验目的1掌握关系逻辑运算符及其表达式的正确使用2掌握if语句和switch语句的使用3了解TurboC程序调试的基本方法二实...

如何设计欧姆定律实验盒项目可行性研究报告评审方案(20xx年发改委立项详细标准及甲级案例范文)

360市场研究网如何设计欧姆定律实验盒项目可行性研究报告评审方案20xx年发改委立项详细标准及甲级案例范文编制机构博思远略咨询公司360投资情报研究中心研究思路360市场研究网关键词识别1欧姆定律实验盒项目可研...

网页设计实验报告模板

安徽电大开放教育学院实验报告专业年级指导教师学号学生姓名日期实验题目实验目的实验步骤实验结果网页截图心得体会

实验设计(28篇)