多功能数字钟—数电课程设计报告

时间:2024.3.31

  

《多功能数字钟电路设计与制作》

课程设计报告

    级:   建筑设施智能技术二班

    名:         *****         

    号:       ********          

指导教师:           *****            

20## 11 19

       

一、  内容摘要……………………………………………3

二、  设计内容及要求……………………………………3

三、  总设计原理…………………………………………3

四、  单元电路的设计……………………………………6

1、  基于NE555的秒方波发生器的设计……………5

2、  基于74LS160的12\60进制计数器的设计……7

3、  校时电路的设计…………………………………9

五、  设计总电路图………………………………………10

六、  主要仪器及其使用方法……………………………10

七、  设计过程中的问题及解决方案……………………10

八、  心得体会……………………………………………12

九、  附录…………………………………………………13

多功能数字钟的电路设计与制作

一、内容摘要:

数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更更长的使用寿命,因此得到了广泛的使用。

数字钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。它可以实现数字电子时钟功能、仿电台整点报时功能、定时功能这三项基本功能。

二、设计内容及要求:

① 基本功能:以数字形式显示时、分、秒的时间,小时计数器的计时要求为“12翻1”,并要求能手动快校时、快校分或慢校时、慢校分。

②扩展功能:定时控制,其时间自定;仿广播电台正点报时—自动报正点时数。

三、总设计原理:

(1)数字电子计时器组成原理

 图1数字电子计时器的结构框图

(2)用74LS160实现12进制计数器

(3)校时电路

当刚接通电源或时钟走时出现误差时,都需要进行时间的校准。校时是数字钟应具有的基本功能,一般电子钟都有时、分、秒校时功能。为使电路简单,这里只进行分和小时的校准。校时可采用快校时和慢校时两种方式。校时脉冲采用秒脉冲,则为快校时;如果校时脉冲由单次脉冲产生器提供则为慢校时。图3中C1、 C2用于消除抖动。

 

                        

图3 校时电路

4、时基电路

                            图4  由555定时器构成的多谐振荡器

5、定时控制电路

数字钟在指定的时刻发出信号,或驱动音响电路“闹时”,或对某装置进行控制,都要求时间准确,即信号的开始时刻与持续时间必须满足规定的要求。

例如,要求上午7点59分发出闹时信号,持续时间为1分钟。

7时59分对应数字钟的时计数器的状态为(Q3Q2Q1Q0H1=0111,分十位计数器的状态为(Q3Q2Q1Q0M2=0101,分个位计数器的状态为(Q3Q2Q1Q0M1=1001。若将上述计数器输出为“1”的所有输出端经过与门电路去控制音响电路‘可以使音响电路正好在7点59分响,且持续时间1分钟停响。所以闹时控制信号Y的表达式为:

Y=(Q2Q1Q0H1(Q2Q0M2(Q3Q0M1

如果用与非门和集电极开路门电路实现,上式可改写为:

6、仿电台正点报时电路

仿电台正点报时电路的功能要求是:每当数字钟计时快要到正点时发出声响,通常按照4低音1高音的顺序发出声响,以最后一声高音结束的时刻为正点时刻。

设4声低音(约500Hz)分别发生在59分51秒、53秒、55秒及57秒,最后一声高音(约1kHz)发生在59分59秒,它们的持续时间为1秒。

根据以上设定可得到电台正点报时时的分十位状态Q2M2Q0M2=11(0101),分个位的状态为Q3M1Q0M1=11(1001),秒十位状态为Q2S2Q0S2=11(0101),秒个位的状态为Q0S1=1(1、3、5、7、9)。而发低音还是高音只与秒个位有关,根据设定可列表如表1所示:

由表中的状态可总结出如下结论:秒个位的第三位Q3S1可用来作为鸣低音或高音的控制信号,即

Q3s1=0时,输入500Hz的低频信号至音响电路

Q3S1=1时,输入1kHz的高频信号至音响电路。

表1   正点报时状态功能表

三、单元电路的设计:

1、基于NE555的秒方波发生器的设计

用NE555芯片以及外围电路搭建成一个多谐振荡器,通过设计外围电路的参数输出方波频率为1Hz,故称为秒方波发生器。由于脉冲的占空比对系统的影响不大,故把占空比设计为1/3。输出方波用作计数器及D触发器的clk信号。NE555定时器引脚图如图1所示,脉冲频率公式:

f=1/(R1+2R2C㏑2

选择R1=47K,R2=47K,RV1=2K,C=10μF,形成电路图如图2所示:

图6

图7秒脉冲发生器

2)基于74ls16012\60进制计数器的设计

A、数字钟的秒和分位都是从0到60循环计数的,所以可以用用异步清零法设计60进制计数器作为秒和分的计数器。具体电路图如下            

图8 74LS160引脚图

   

图9采用异步清零法设计60进制计数器

图10采用同步置数法设计12进制计数器

3)校时电路的设计

当刚接通电源或时钟走时出现误差时,都需要进行时间的校准。校时是数字钟应具有的基本功能,一般电子钟都有时、分、秒校时功能。为使电路简单,这里只进行分和小时的校准。校时可采用快校时和慢校时两种方式。校时脉冲采用秒脉冲,则为快校时;如果校时脉冲由单次脉冲产生器提供则为慢校时。图3中C1、 C2用于消除抖动。当按钮一直按下的时候,输入的时钟脉冲可以一直通过与非门组成的逻辑电路输出,将输出接到计数器的计数脉冲上就可以实现快校时。

                        图11 校时电路

四、总电路设计图

图12 总电路图

五、主要仪器及其使用方法

主要仪器有:稳定电源,剥线钳,数字万用表,数字通用版,电烙铁,镊子等。

其中稳定电源由函数信号发生器提供。使用电烙铁时注意不要手直接触摸。

六、设计过程中的问题及解决方案

1、仿真软件:本实验选用multisim软件以及protues软件相互辅助完成软件进行仿真。  

1)合理选用芯片以及电阻电容

multisim软件中的芯片以及电阻电容等各种器件丰富,但是实验室不一定有仿真图中使用过的元器件,所以设计电路时要考虑实验室是否有这些元器件。

2)总体仿真结果不对时,针对仿真各个环节从底层开始中检测输出数据

仿真过程中,用计算所得的555参数进行连接电路,最终检测到得秒脉冲幅值太小,不足以触发74ls160进行计数,改用protues软件进行仿真可以实现。所以在multisim中用函数信号发生器代替555发生的秒脉冲进行仿真,便可以显示结果。

3multisim中仿真时间与实际时间的差别

       对电路总体进行仿真时,发现仿真过程中秒的跳转要很长时间,跟实际中的1s中差距很大,但是秒计数脉冲是由1HZ的函数发生器产生的,后来了解到这是由于multisim中仿真步进时间设置的关系,仿真时间与实际时间并不是同步的,这并不会影响实际焊接电路后的计数时间,也就是说实际焊接后秒的跳转仍然是设定的1s。

2、焊电路板过程中的问题

1)芯片的布局

不仅要讲求美观更要讲求电路连接的方便性。首先选定地线和电源正极线,然后规划大体芯片布局,从上到下逐级布局,最上面放置数码管,下一排放置74LS48译码芯片,第三排放置74LS160计数器,第四排放置各种与非门。最后根据电源线的分布以及芯片电源引脚以及其他需要接电源线的引脚进行合理调整,争取连线最短最少。

2)合理利用万能版

要学会利用这块万能板的走线,比如板子过孔都是三个过孔相连的,把同一个节点的所有连线均匀地分布在这三个相连的过孔上。仿真的时候我们可以把很多线连载一个小小的节点上,但是实际焊万能板的时候却不能这样,因为每个导线或者芯片的引脚都是有一定体积的,焊接之前必须充分考虑到这一点。每隔留个过孔就又两行铜线,只要对这些铜线稍加处理就能使它们变成电源线和地线。由于每块芯片都必须接电源线和地线,所以好好利用者写平行的铜线同样能够起到减少飞线的效果。

3)标记芯片管脚

实际上在万能板上焊接东西是一个比较麻烦的也考验焊接者耐心的事情,但是我们稍稍对板子做一些优化就能简化我们焊接的过程。比如固定好每块芯片的管座以后在管脚旁边标好引脚的标号,这样能够帮助我们更加准确的把每根导线焊道它该焊到的地方,同时也减少了我们看电路图纸的频率,节约了时间,减小了错误焊接的概率。

4)合理安排焊接顺序

整个数字钟最核心最基础的部分应当是秒脉冲的产生了。如果秒脉冲发生器没有连接号,真个电路就不会有任何结果。所以首选选择焊接秒脉冲发生器。之后再按照设计的总体电路图,从底层开始逐层连接。

5)严格按照电路图标号接线

    有的芯片比如与非门芯片、非门芯片等,一块芯片中就有多个逻辑门,很多同学喜欢随意使用其中的逻辑门,而我想说的是我们一定要按照仿真图上面的标号选择逻辑门。这样做是非常有道理的,因为数字电子课程设计用到了大量的数字芯片,自然连线会非常多,我们很可能会不记得那根线连到了芯片的那个引脚,一旦不记得了我们得重新在密密麻麻的导线束中跟踪某跟导线的走势,这样做是非常消耗时间的。如果严格按照仿真图的标号联线则不会出现上述问题。

6)调试基本功能电路

电路焊接完成并不意味着就会出现正确结果。连接完成通电之后往往还是会出现很多的问题。这时候要首先要从硬件连接上检查,排查有没有引脚接错位置,同时使用万用表测量是否连接完好。在我的电路板调试过程中,不管是分位还是秒位的十位都是在各位为跳到9的同时跳转,也就是提前1秒或者1分进行加一跳转,在确定硬件连接没有错误之后,从原理上寻找问题,发现multisim中的74ls160时钟脉冲信号是下降沿有效,实际中7474ls160的脉冲信号是上升沿有效,由于74ls160的进位信号是在第九个脉冲打来时出现高电平第十个计数脉冲来到的时候回到低电平,所以按照仿真电路连接的时候就会出现在各位跳到9的时候十位就同时跳转的情况,为了解决这一问题,让进位输出经过一个非门反向后接到十位计数脉冲,结果显示为理想结果。

7)拓展电路的设计与调试。

由于实验室中没有蜂鸣器,所以用发光而接管代替蜂鸣器来进行整点报时。在第59分钟的51秒53秒55秒57秒59秒让发光二极管点亮,其余时间均为熄灭状态。整个调试过程主要是通过发光二极管的电流的控制。之前由于使用的电阻不够大,通过发光二极管的电流电路的电流过大以至于都影响到前一级的与非门以及其他与非门的工作,使整个电路的计时结果都受到影响,每当第一次点亮二极管之后,计数器都自动清零了。思考许久之后试探着把分压电阻选成47k欧姆的,结果就实现了所需的现象。

七、心得体会

   整体规划很重要,同时必须要有足够的耐心,脚踏实地一点一点的完成。

   在拿到课程设计题目的时候,第一步要根据设计要求,思考原理以及实现方案。第二步要根据自己所选定的方案确定选用那些元器件,然后查阅相应芯片的资料,掌握其引脚分布以及用法。第三部将整体的设计分成各个子模块,然后一个模块一个模块的进行设计,最后将各个子模块整合在一起进行整体仿真。整个仿真过程中必须仔细认真,而且要有足够的耐心,不厌其烦的进行测量校正修改。直到得到预想的结果。

       焊接过程中,要严格根据自己的仿真图逐层逐步的进行,防止出现漏焊或者焊接混乱的现象发生。第一步要对整体的布局做规划,不仅要美观,更要方便焊接。第二步,焊接过程中必须小心谨慎,在讲求美观的同时,更要保证焊接点可靠。第三步便是调试过程了,调试过程中出现的问题,要从原理分析找出问题的大概位置,然后对电路连接进行仔细检查。

    也许是自己对电子设计方面很感兴趣,整个制作过程都是轻松愉快的。同时在焊接过程中比较仔细认真所以出现的问题不多,每次出现了问题也都是很认真仔细的去排查,最终将整个数字钟的设计基本完成。不过还是有部分问题没有得到很好的解决,按钮消抖部分做的不够好。在以后的相关设计制作中,必须更加仔细认真严谨的去对待。

八、附录

1、所用芯片及其参数:

2.参考文献

【1】数字电子技术基础(第五版)         高等教育出版社

【2】74LS00、74LS04、74LS74、74LS153、74LS138、74LS160 NE555等芯片数据手册

【3】互联网上的资料


第二篇:多功能数字钟电路设计 数电课程设计


本文由3109008923贡献doc文档可能在WAP端浏览体验不佳。建议您优先选择TXT,或下载源文件到本机查看。武汉理工大学数字电子技术基础应用课程设计课程设计任务书学生姓名: 学生姓名: 指导教师: 指导教师: XXX 专业班级: 专业班级: 工作单位: 工作单位:题 目: 多功能数字钟电路设计初始条件: 初始条件:74LS390,74LS48,数码显示器 BS202 各 6 片,74LS00 3 片,74LS04,74LS08 各 1 片,电阻若干,电容,开关各 2 个,蜂鸣器 1 个,导线若干。要求完成的主要任务: 要求完成的主要任务:用中、小规模集成电路设计一台能显示日、时、分秒的数字电子钟,要求如下: 1.由晶振电路产生 1HZ 标准秒信号。 2.秒、分为 00-59 六十进制计数器。 3.时为 00-23 二十四进制计数器。 4.可手动校正:能分别进行秒、分、时的校正。只要将开关置于手动位置。可分别对 秒、分、时进行连续脉冲输入调整。 5.整点报时。整点报时电路要求在每个整点前鸣叫五次低音(500HZ) ,整点时再鸣叫 一次高音(1000HZ) 。时间安排: 时间安排:第 20 周理论设计、实验室安装调试,地点: 鉴主 15 楼通信实验室一指导教师签名: 指导教师签名:年月日系主任(或责任教师)签名: 系主任(或责任教师)签名:年月日武汉理工大学数字电子技术基础应用课程设计多功能数字钟电路设计摘要 …… 1 Abstract …… 2 1 系统原理框图 …… 3 2 方案设计与论证 …… 4 2.1 时间脉冲产生电路 …… 4 2.2 分频器电路 …… 6 2.3 时间计数器电路 …… 7 2.4 译码驱动及显示单元电路 …… 8 2.5 校时电路 …… 8 2.6 报时电路 …… 10 3 单元电路的设计 …… 12 3.1 时间脉冲产生电路的设计 …… 12 3.2 计数电路的设计 …… 12 3.2.1 60 进制计数器的设计 …… 12 3.2.2 24 进制计数器的设计 …… 13 3.3 译码及驱动显示电路 …… 14 3.4 校时电路的设计 …… 14 3.5 报时电路 …… 16 3.6 电路总图 …… 17 4 仿真结果及分析 …… 18 4.1 时钟结果仿真 …… 18 4.2 秒钟个位时序图 …… 18 4.3 报时电路时序图 …… 19 4.4 测试结果分析 …… 19 5 心得与体会 …… 20 6 参考文献 …… 21 附录 1 原件清单 …… 22 附录 2 部分芯片引脚图与功能表 …… 23 74HC390 引脚图与功能表 …… 23武汉理工大学数字电子技术基础应用课程设计摘要数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更 高的准确性和直观性,且无机械装置,具有更更长的使用寿命,因此得到了广泛的使用。 数字钟从原理上讲是

一种典型的数字电路,其中包括了组合逻辑电路和时序电路。目 前,数字钟的功能越来越强,并且有多种专门的大规模集成电路可供选择。数字钟适用于 自动打铃、自动广播,也适用于节电、节水及自动控制多路电器设备。它是由数子钟电路、 定时电路、放大执行电路、电源电路组成。为了简化电路结构,数字钟电路与定时电路之 间的连接采用直接译码技术。具有电路结构简单、动作可靠、使用寿命长、更改设定时间 容易、制造成本低等优点。 从有利于学习的角度考虑,这里主要介绍以中小规模集成电路设计数字钟的方法。1武汉理工大学数字电子技术基础应用课程设计AbstractA digital clock is a kind of digital circuit technology, minutes and seconds when the timing device, and the mechanical clock is higher than the accuracy and intuitive, and no machinery, has more longer service life, so it has been widely used. From the principle of digital clock is a kind of typical digital circuits, including the assembly logic circuit and the sequential circuits. At present, a digital clock function is more and more strong, and a variety of special options. Applicable for automatic digital clock rung, automatic broadcasting, also suitable for electricity, water and automatic control and electrical equipment. It is by several children clock circuit, timing circuit, amplifier circuit, the power circuit implementation. In order to simplify the circuit structure, a digital clock circuit and timing circuits using direct connection between decoding technology. With simple structure, reliable operation, long service life, change the setting time for easy and manufacturing cost etc. To learn from the point of view, there are mainly introduced in small scale integrated circuit design method of digital clock。2武汉理工大学数字电子技术基础应用课程设计1 系统原理框图数字钟实际上是一个对标准频率(1HZ)进行计数的计数电路。由于计数的起始时间 不可能与标准时间(如北京时间)一致,故需要在电路上加一个校时电路,同时标准的 1HZ 时间信号必须做到准确稳定。通常使用石英晶体振荡器电路构成数字钟。图 1 所示为数字 钟的一般构成框图。图 1 系统原理框图⑴晶体振荡器电路:晶体振荡器电路给数字钟提供一个频率稳定准确的 32768Hz 的 方波信号,可保证数字钟的走时准确及稳定。不管是指针式的电子钟还是数字显示的电子 钟都使用了晶体振荡器电路。 ⑵分频器电路:分频器电路将 32768HZ 的高频方波信号经 32768( 215 )次分频后得到 1Hz 的方波信号供秒计数器进行计数。分频器实际上也就是计数器。 ⑶时间计数器电路:时间计数电路由秒个位和秒十位计数器、分个

位和分十位计数器3武汉理工大学数字电子技术基础应用课程设计及时个位和时十位计数器电路构成,其中秒个位和秒十位计数器、分个位和分十位计数器 为 60 进制计数器,而根据设计要求,时个位和时十位计数器为 24 进制计数器。 ⑷译码驱动电路:译码驱动电路将计数器输出的 8421BCD 码转换为数码管需要的逻辑 状态,并且为保证数码管正常工作提供足够的工作电流。 ⑸整点报时电路:一般时钟都应具备整点报时电路功能,即在时间出现整点前数秒内, 数字钟会自动报时,以示提醒.其作用方式是发出连续的或有节奏的音频声波,较复杂的也 可以是实时语音提示。方案设计与论证 2 方案设计与论证2.1 时间脉冲产生电路方案一:由集成电路定时器 555 与 RC 组成的多谐振荡器作为时间标准信号源。图 2 555 与 RC 组成的多谐振荡器图4武汉理工大学数字电子技术基础应用课程设计方案二:振荡器是数字钟的核心。振荡器的稳定度及频率的精确度决定了数字钟计时 的准确程度,通常选用石英晶体构成振荡器电路。石英晶体振荡器的作用是产生时间标准 信号。因此,一般采用石英晶体振荡器经过分频得到这一时间脉冲信号。图 3 石英晶体振荡器图方案三:由集成逻辑门与 RC 组成的时钟源振荡器。图 4 门电路组成的多谐振荡器图用 555 组成的脉冲产生电路: R1=15*103Ω,R2=68*103Ω,C=10μF ,则 555 所产生 的脉冲的为:f=1.43/[(R1+2*R2)*103*10*106=0.947Hz,而设计要求为 1Hz,因此其误差为 5.3%,在精度要求不是很高的时候可以使用。 石英晶体振荡电路:采用的 32768 晶体振荡电路,其频率为 32768Hz,然后再经过 15 分 频电路可得到标准的 1Hz 的脉冲输出.R 的阻值,对于 TTL 门电路通常在 0.7~2KΩ 之间; 对于 CMOS 门则常在 10~100MΩ 之间。 由门电路组成的多谐振荡器的振荡周期不仅与时间常数 RC 有关,而且还取决于门电 路的阈值电压 VTH,由于 VTH 容易受到温度、电源电压及干扰的影响,因此频率稳定性较差, 只能用于对频率稳定性要求不高的场合。 综上分析,选择方案二,石英晶体振荡电路能够作为最稳定的信号源。5武汉理工大学数字电子技术基础应用课程设计2.2 分频器电路通常,数字钟的晶体振荡器输出频率较高,为了得到 1Hz 的秒信号输入,需要对振荡 器的输出信号进行分频。通常实现分频器的电路是计数器电路,一般采用多级 2 进制计数 器来实现。例如,将 32768Hz 的振荡信号分频为 1HZ 的分频倍数为 32768( 215 ),即实现 该分频功能的计数器相当于 15 级 2 进制计数器。从尽量减少元器件数量

的角度来考虑, 这里可选多极2进制计数电路 CD4060 和 CD4040 来构成分频电路。CD4060 和 CD4040 在数 字集成电路中可实现的分频次数最高,而且 CD4060 还包含振荡电路所需的非门,使用更 为方便。 CD4060 计数为14级2进制计数器,可以将 32768Hz 的信号分频为2Hz,其内部框 图如图 2.1 所示,从图中可以看出,CD4060 的时钟输入端两个串接的非门,因此可以直接 实现振荡和分频的功能。图 5.1 CD4046 内部框图图 5.2CD4040 内部框图CD4040 计数器的计数模数为 4096( 212 ),其逻辑框图如图 5.2。如将 32768Hz 信号 分频为 1Hz,则需外加一个 8 分频计数器,故一般较少使用 CD4040 来实现分频。 综上所述,可选择 CD4060 同时构成振荡电路和分频电路。照图 5.1,在 CP0 和 CP0 之 间接入振荡器外接元件可实现振荡,并利用时计数电路中多一个 2 分频器(后述)可实现 15 级 2 分频,即可得 1Hz 信号。6武汉理工大学数字电子技术基础应用课程设计2.3 时间计数器电路一般采用 10 进制计数器来实现时间计数单元的计数功能。为减少器件使用数量,可 选 74HC390,其内部逻辑框图如图 6 所示。该器件为双 2-5-10 异步计数器,并且每一计数 器均提供一个异步清零端(高电平有效)。图 6 74HC390(1/2)内部逻辑框图秒个位计数单元为 10 进制计数器,无需进制转换,只需将QA与CPB(下降沿有效) 相连即可。CPA(下降没效)与 1Hz 秒输入信号相连,Q3可作为向上的进位信号与十位 计数单元的CPA相连。 秒十位计数单元为 6 进制计数器,需要进制转换。将 10 进制计数器转换为6进制计 数器的电路连接方法如图 7 所示,其中Q2可作为向上的进位信号与分个位的计数单元的 CPA相连。图 7 10 进制-6 进制计数器转换电路分个位和分十位计数单元电路结构分别与秒个位和秒十位计数单元完全相同,只不过 分个位计数单元的Q3作为向上的进位信号应与分十位计数单元的CPA相连,分十位计数 单元的Q2作为向上的进位信号应与时个位计数单元的CPA相连。 时个位计数单元电路结构仍与秒或个位计数单元相同,但是要求,整个时计数单元应 为 24 进制计数器,不是 10 的整数倍,因此需将个位和十位计数单元合并为一个整体才能7武汉理工大学数字电子技术基础应用课程设计进行 24 进制转换。利用 1 片 75HC390 实现 24 进制计数功能的电路如图 8 所示。 另外,图 8 所示电路中,尚余-2 进制计数单元,正好可作为分频器 2Hz 输出信号转 化为 1Hz 信号之用。图 8 24 进制计数器电路2.4 译码驱动及

显示单元电路译码电路的功能是将“秒”、“分”、“时”计数器的输出代码进行翻译,变成相应 的数字。用于驱动 LED 七段数码管的译码器常用的有 74LS48。74LS48 是 BCD-7 段译码器/ 驱动器,其输出是 OC 门输出且低电平有效,专用于驱动 LED 七段共阳极显示数码管。如 图 9 所示。若将“秒”、“分”、“时”计数器的每位输出分别接到相应七段译码器的输 入端,便可进行不同数字的显示。2.5 校时电路方案一:。通常,校正时间的方法是:首先截断正常的计数通路,然后再进行人工出 触发计数或将频率较高的方波信号加到需要校正的计数单元的输入端,校正好后,再转入 正常计时状态即可。根据要求,数字钟应具有分校正和时校正功能,因此,应截断分个位 和时个位的直接计数通路,并采用正常计时信号与校正信号可以随时切换的电路接入其 中。图 10 所示为所设计的校时电路。8武汉理工大学数字电子技术基础应用课程设计图 9 方案一校正电路图方案二:方案二与方案一原理差不多,但多了 0.01uf 的电容防抖动。图 10 方案二校正电路图9武汉理工大学数字电子技术基础应用课程设计方案三:校准电路由基本 RS 触发器和“与”门组成,基本 RS 触发器的功能是产生单 脉冲,主要作用是起防抖动作用。未拨动开关 K 时,“与非”门 G2 的一个输入端接地, 基本 RS 触发器处于“1”状态,这是数字钟正常工作,“分”进位脉冲能进入“分”计数 器。拨动开关 K 时,“与非”门 G1 的一个输入端接地,于是基本 RS 触发器转为“0”状 态。秒状态可以直接进入“分”计数器,而“分”进位脉冲被阻止进入,因而能较快地校 准分计数器的计数值。校准后,将校正开关恢复原位,数字钟继续进行正常计时工作。图 11 方案三校正电路通过比较可知,方案二和方案三比方案一多了防抖动的措施,稳定性更好,方案二和 方案三相比,防抖动措施更好,更完备,但电路也更为复杂,成本也更高,通过比较选择 方案二,既能实现防抖动功能,做出事物也更经济一些。2.6 报时电路方案一:采用仿广播台整点报时的功能:每当数字钟计时快要到正点时候发出响声, 通常按照四低音,一高音的顺序发出间断声,以最后一声高音结束的时刻为正点时刻。4 低音(约 500Hz)分别发生在 59 分 51 秒、发生在 59 分 53 秒、发生在 59 分 55 秒、发生 在 59 分 57 秒、,最后一声高音(约 1KHz)发生在 59 分 59 秒,他们的持续时间均为一秒。10武汉理工大学数字电子技术基础应用课程设计图 12 方案一

报时电路方案二:方案二与方案一实现功能一样,电路不一样。图 13 方案二报时电路11武汉理工大学数字电子技术基础应用课程设计单元电路 电路的设计 3 单元电路的设计3.1 时间脉冲产生电路的设计图 14 产生 1Hz 时间脉冲的电路图CD4060 同时构成振荡电路和分频电路。如图 14,在 MR 和 RS 之间接入振荡器外接元 件可实现振荡,并利用时计数电路中多一个 2 分频器可实现 15 级 2 分频,即可得 1Hz 信 号。3.2 计数电路的设计秒、分计数器为 60 进制计数器。小时计数器为 24 进制计数器。实现这两种模数的计 数器采用中规模集成计数器 CC40161。3.2.1 60 进制计数器的设计“秒”计数器电路与“分”计数器电路都是 60 进制,它由一级 10 进制计数器和一级 6 进制计数器连接构成。 如图 4.所示由 CC40161 构成的 60 进制计数器。 首先将两片 CC40161 设置成十进制加法计数器,将两片计数器并行进位则最大可实现 100 进制的计数器。现要 设 计 一 个 60 进 制 的 计 数 器 , 可 利 用 “ 反 馈 清 零 ” 的 方 法 实 现 。 当 计 数 器 输 出 “2Q32Q22Q12Q0、1Q3Q2Q1Q0=0110、0000”时,通过门电路形成一置数脉冲,使计数器归 零。12武汉理工大学数字电子技术基础应用课程设计图 15 60 进制计数器电路图3.2.2 24 进制计数器的设计同理当个位计数状态为“Q3Q2Q1Q0=0100”,十位计数器状态为“Q3Q2Q1Q0=0010” 时,要求计数器归零。图 16 17 24 进制计数器图13武汉理工大学数字电子技术基础应用课程设计3.3 译码及驱动显示电路译码电路的功能是将“秒”、“分”、“时”计数器的输出代码进行翻译,变成相应 的数字。用于驱动 LED 七段数码管的译码器常用的有 74LS48。74LS48 是 BCD-7 段译码器/ 驱动器,其输出是 OC 门输出且低电平有效,专用于驱动 LED 七段共阳极显示数码管。由 74LS48 和 LED 七段共阳数码管组成的一位数码显示电路如图 16 所示。若将“秒”、 “分”、“时”计数器的每位输出分别接到相应七段译码器的输入端,便可进行不同数字 的显示。图 18 译码及驱动显示电路图3.4 校时电路的设计数字钟启动后,每当数字钟显示与实际时间不符时,需要根据标准时间进行校时。校 “秒”时,采用等待校时。校“分”、“时”的原理比较简单,采用加速校时。14武汉理工大学数字电子技术基础应用课程设计对校时电路的要求是 : 1.在小时校正时不影响分和秒的正常计数 。 2.在分校正时不影响秒和小时的正常计数 。 如图 17 所示,当开关打向下时,因为校正信号

和 0 相与的输出为 0,而开关的另一端 接高电平,正常输入信号可以顺利通过与或门,故校时电路处于正常计时状态;当开关打 向上时,情况正好与上述相反,这时校时电路处于校时状态。与非门可选 74LS00,非门则 可用与非门 2 个输入端并接来代替节省芯片。因此实际使用时,须对开关的状态进行消除 抖动处理,图 17 为加 2 个 0.01uF 的电容。图 19 校时电路图15武汉理工大学数字电子技术基础应用课程设计3.5 报时电路根据要求,电路应在整点前 10 秒钟内开始整点报时,即当时间在 59 分 50 秒到 59 分 59 秒期间时, 报时电路报时控制信号。 当时间在 59 分 50 秒到 59 分 59 秒期间时, 分十位、 分个位和秒十位均保持不变,分别为 5、9 和 5,因此可将分计数器十位的 QC和 QA 、个 位的 QD和 QA及秒计数器十位的 QC和 QA相与,从而产生报时控制信号。 选蜂鸣器为电声器件,蜂鸣器是一种压电电声器件,当其两端加上一个直流电压时酒 会发出鸣叫声,两个输入端是极性的,其较长引脚应与高电位相连,图 19 的三极管时为 了驱动蜂鸣器。图 20 报时电路图16武汉理工大学数字电子技术基础应用课程设计3.6 电路总图图 21 电路总图17武汉理工大学数字电子技术基础应用课程设计4 仿真结果及分析4.1 时钟结果仿真图 22 时钟结果仿真图4.2 秒钟个位时序图图 23 秒钟个位时序图其他计数器的时序图原理一样,这里就不在赘述18武汉理工大学数字电子技术基础应用课程设计报时电路时序图 4.3 报时电路时序图图 24 报时电路时序图蜂鸣器选择的是 500HZ 的,所以 500HZ 的脉冲过来时候会发出四个脉冲,也就是前面 提到的四个低音测试结果分析 4.4 测试结果分析经测试之后,电路可以实现设计要求,可以实现数字钟的基本功能,比如计数,如图 22,同时多功能模块校时功能和报时功能都可以使用,如图 24。基于仿真结果可以认定, 此次多功能数字钟的设计是成功的。19武汉理工大学数字电子技术基础应用课程设计5 心得与体会在此次的数字钟设计过程中,更进一步地熟悉了芯片的结构及掌握了各芯片的工作原 理和其具体的使用方法。这学期数电实验课的考试就是做的数字钟,所以在计数模块上面 有以前的经验,设计技术模块很快就得出了正确的结果,虽然跟实验室用得芯片不一样, 但原理不一样,我也得出结论,不同的电路可以实现同样的功能,我们应该设计最简单, 最经济,最实用的电路。当然这个不一定所有条件都符

合,找到一个最大限度满足各种条 件的方案是我们设计的目标。 每次课程设计是一次难得的锻炼机会,让我们能够充分利用所学过的理论知识还有自 己的想象的能力,另外还让我们学习查找资料的方法,以及自己处理分析电路,设计电路 的能力。我相信是对我的一个很好的提高。平时在学习理论知识的时候,我们应该更注重 实践,应付考试有考试的方法。这次的课程设计让我懂得了它们在实际中的用途,还有我 们身边的很多数字钟电路,这些都是我们自己可以实现的,以前那些神秘的东西在不断的 学习过程中变得不再那么神秘,我相信,以后还有更多的谜底被揭开。通过这次课程设计, 我还更加深了理论知识的学习。这次的设计电路我用到了计数器、译码器等,通过自己分 析和设计更好地运用了它们,而且还学会了它们更多的功能,发现它们的功能远比书上说 的多很多,可以利用不同的接法设计出各种各样不同的电路出来。模电课程设计学到得方 法在这里可以继续使用,比如 MULTISIM 等学习软件,给设计提供了很大的便利。课程设 计机会不多,这学期很好,有足够的时间,上学期因为模电课程设计临近期末才给出来, 做得很匆忙,觉得不是敷衍老师,而是敷衍自己。虽然自己很努力的做了,但觉得做得不 够好,难免有点遗憾。这学期本来课不多,课程设计又给得比较早,自己认真做了,觉得 还是小有收获。 碰到的问题越让人绝望,解决问题之后的喜悦程度就越高。作为工科类的学生,以后 工作了难免要碰到许许多多的问题,不要绝望,坚持,直到看到胜利的曙光。20武汉理工大学数字电子技术基础应用课程设计6 参考文献1 《数字电子技术基础》康华光 主编 高等教育出版社 。 2 《电子线路设计·实验·测试》第三版,谢自美 主编,华中科技大学出版社。 3 《电子线路综合设计实验教程》 刘鸣 主编 天津大学出版。21武汉理工大学数字电子技术基础应用课程设计附录 1 原件清单器件型号 74LS48 BS202 74HC390N 74LS04 74LS00 74LS08 Crystal Buzzer Resister Resister Resister Resister 103 Switch 9013 用途介绍 译码器 数码显示器 多功能的计数器 6 反相器 2 输入与非门 4 输入与门 晶振 蜂鸣器 100 欧姆电阻 3.3K 欧姆电阻 1K 欧姆电阻 22 欧姆电阻 0.01uF 电容 开关 NPN 数量 6 6 3 1 3 1 1 1 6 2 1 1 2 2 122武汉理工大学数字电子技术基础应用课程设计部分芯片引脚图与功能表 附录 2 部分芯片引脚图与功能表74HC390 引脚图与功能表图 25 74HC390 引脚图与功能表23武汉理工大学数字电子技术基础应用课程设计

本科生课程设计成绩评定表姓 名 Xxx 性 别 专业、 专业、班级 课程设计题目: 课程设计题目:多功能数字钟电路设计 课程设计答辩或质疑记录: 课程设计答辩或质疑记录: 为什么不选择用 555 芯片组成的多谐振荡器来产生秒脉冲? 答:因为这是数字钟的设计,显示的是时间,对秒脉冲的精度要求相当高,所以选 择晶振来产生脉冲,力求显示时间的准确性。 校时电路的原理是什么? 答: 主要是通过与门的锁门功能来实现, 当与门的一个输入端为 0 时, 输出肯定为 0, 当与门的一端为 1 时,输出跟另一段的输入相同。 设计的过程中,你觉得哪里最困难? 答:仿真的时候的译码器和 7 段那里碰到了一点困难,不加那保护电阻的话 7 段显 示不出东西来,但最后还是查资料把问题解决了。成绩评定依据: 成绩评定依据:最终评定成绩(以优、 最终评定成绩(以优、良、中、及格、不及格评定) 及格、不及格评定)指导教师签字: 指导教师签字: 年24月日1

更多相关推荐:
数字电路课程设计-多功能数字时钟设计报告(免费下载)

多功能数字时钟设计报告目录一设计任务和要求2二设计的方案的选择与论证21总体电路分析22仿真分析33仿真说明3三电路设计计算与分析41小时计时电路42分钟计时电路53秒钟计时电路74校时选择电路85整点译码电路...

多功能数字钟课程设计报告

数字电路课程设计报告课题多功能数字时钟姓名学号班级专业电子信息工程指导老师20xx年6月15日目录一设计课题1二设计任务1三设计要求1四分析及设计过程1五组装及调试过程7六设计心得及总结7一设计课题多功能数字钟...

多功能数字钟课程设计报告

多功能数字钟课程设计报告班级:计算机1002姓名:***学号:***指导老师:**完成日期:20**年1月10日1、设计任务及要求(1)拥有正常的是、分、秒计时功能;(2)能利用实验板上的案件实现校时、较分及秒…

多功能数字钟课程设计报告

多功能数字钟课程设计要求多功能数字钟课程设计报告注此表可加附页

多功能数字钟—数电课程设计报告

《多功能数字钟电路设计与制作》课程设计报告班级:建筑设施智能技术二班姓名:**学号:**指导教师:20XX年11月19日一、内容摘要3二、设计内容及要求3三、总设计原理3四、单元电路的设计61、基于NE555的…

数字系统设计课程设计报告——多功能数字钟

电子信息学院课程设计报告课程名称:《数字系统设计课程设计》题目:多功能数字钟设计年级/专业/班:20##级电科X班学生姓名1:xxx学号:学生姓名2:xxx学号:2014年7月1日目录1课程设计目标和流程分析.…

多功能数字钟课程设计报告

电子时钟课程设计电子时钟课程设计报告班级文通0741姓名学号20xx905121共页第页电子时钟课程设计多功能数字钟课程设计报告一课程设计题目多功能数字钟二实验目的了解多功能数字电子钟的工作原理学习数字系统设计...

用状态机实现的EDA多功能数字钟课程设计VHDL代码

设计并实现具有一定功能的数字钟1该数字钟可以实现3个功能计时功能整点报时功能和重置时间功能因此有3个功能计时重置时间复位2对所有设计的小系统能够正确分析3基于VHDL语言描述系统的功能4在quartus2环境中...

数字钟课程设计报告

黄石理工学院电气与电子信息学院电子设计与实训课程设计任务书专业班级实训时间二周班分组情况每组12人指导老师一实训题目直流稳压电源和多功能数字钟二实训目的1巩固和加深学生对模拟电子技术数字逻辑电路等课程基本知识的...

经典单片机多功能音乐闹钟课程设计报告

单片机课程设计报告设计课题单片机电子钟课程设计姓名学院湖南工业大学电气与信息工程学院专业机电一体化班级学号日期20xx年11月数字钟的简介单片机系统作为一种典型的嵌入式系统其系统设计包括硬件电路设计和软件编程设...

毕业论文:eda技术多功能数字钟系统的设计-精品

EDA技术多功能数字钟系统的设计摘要近年来随着电子技术和通信技术的飞速发展要求设计研究方面运用电子设计自动化ElectronicDesignAutomation简称EDA工具进行开发在20世纪90年代初从计算机...

多功能数字钟—数电课程设计报告

课程设计课程名称数字电路课程设计题目名称多功能电子钟学生学院物理学院专业班级光信息111学号3111008698学生姓名郑敏伟指导教师刘汉瑞20xx年7月01日11多功能数字钟的电路设计设计目的1掌握数字钟的设...

多功能数字钟课程设计报告(22篇)