实验一 四位串行进位加法器的设计实验报告

时间:2024.4.20

实验一  四位串行进位加法器的设计

一、实验目的

1.       理解一位全加器的工作原理

2.       掌握串行进位加法器的逻辑原理

3.       进一步熟悉Quartus软件的使用,了解设计的全过程,

二、实验内容

1.       采用VHDL语言设计四位串行进位的加法器

2.       采用画原理图的方法设计四位串行进位加法器

三、实验步骤

1、使用VHDL语言设计

1.打开File—>New Project Wizard输入文件名adder4保存在D盘内,打开File—>New—>VHDL File,从模版中选择库的说明,use语句的说明,实体的说明,结构体的说明,编写VHDL代码,然后保存、编译。打开File—>New—>Other File—>Vector Waveform File,查找引脚,从Edit中选择End Time 输入40、ns 保存。从Assignments—>Settings—>Simulator Settings—>Functional

然后Processing—>Generate Functional Simnlation Netlist —>确定。选择Start Simulation保存最后的波形图,打开File—>close关闭工程。

底层文件:

LIBRARY ieee;

USE ieee.std_logic_1164.ALL;

ENTITY fadder IS

      PORT

      (

           a, b,cin     : IN     STD_LOGIC;

           s, co    : OUT STD_LOGIC

      );

END fadder;

ARCHITECTURE arc1 OF fadder IS

BEGIN

      s<=a xor b xor cin;

      co<=((a xor b)and cin)or(a and b);

END arc1;

顶层文件:

LIBRARY ieee;

USE ieee.std_logic_1164.ALL;

ENTITY adder4 IS

      PORT

      (

           c0: IN STD_LOGIC;

           a,b            : IN     STD_LOGIC_VECTOR(3 DOWNTO 0);

           s    : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);

           c4  : OUT STD_LOGIC

      );

END adder4;

ARCHITECTURE arc2 OF adder4 IS

      COMPONENT fadder

      PORT

      (

           a, b,cin     : IN     STD_LOGIC;

           s, co    : OUT STD_LOGIC

      );

END COMPONENT;

      SIGNAL carry : STD_LOGIC_VECTOR(3 DOWNTO 1);

BEGIN

      u1 : fadder PORT MAP (a=>a(0), b =>b(0),cin=>c0,s=>s(0),co=>carry (1));

      u2 : fadder PORT MAP (a=>a(1), b=>b(1),cin=>carry(1),s=>s(1),co=>carry (2));

      u3 : fadder PORT MAP (a=>a(2), b=>b(2),cin=>carry(2),s=>s(2),co=>carry (3));

      u4 : fadder PORT MAP (a=>a(3),b=>b(3),cin=>carry(3),s=>s(3),co=>c4);

END arc2;

2、使用原理图的方法设计

打开File—>New Project Wizard输入文件名adder4保存在D盘内,打开File—>New—>VHDL File,从模版中选择库的说明,use语句的说明,实体的说明,结构体的说明,编写VHDL代码,然后选择File-->Create/Update-->Create Symbol Files for Current File,选择File-->New-->Other File-->Vector Waveform File,查找引脚,从Edit中选择End Time 输入40、ns 保存。从Assignments—>Settings—>Simulator Settings—>Functional

然后Processing—>Generate Functional Simnlation Netlist —>确定。选择Start Simulation保存最后的波形图,打开File—>close关闭工程。

文件:

LIBRARY ieee;

USE ieee.std_logic_1164.ALL;

ENTITY fadder IS

      PORT

      (

Cin,a, b     : IN     STD_LOGIC;

           s, co    : OUT STD_LOGIC

      );

END fadder;

ARCHITECTURE arc1 OF fadder IS

BEGIN

      s<=a xor b xor cin;

      co<=((a xor b)and cin)or(a and b);

END arc1;

原理图:

四、实验现象

五、 实验体会与收获

问题:Error (10500): VHDL syntax error at adder4.vhd(10) near text ")";  expecting an identifier, or "constant", or "file", or "signal", or "variable"

Error (10500): VHDL syntax error at adder4.vhd(19) near text "COMPONENT";  expecting ";", or an identifier ("component" is a reserved keyword), or "entity"

Error (10396): VHDL syntax error at adder4.vhd(27): name used in construct must match previously specified name "adder4"

Error (10523): Ignored construct adder4 at adder4.vhd(3) due to previous errors

解决办法 :

      c4  : OUT STD_LOGIC;

);改为:

c4  : OUT STD_LOGIC);

OUT   STD_LOGIC后面无;

收获:第二种方法中只建立熟悉理解一位全加器的工作原理,掌握串行进位加法器的逻辑原理。


第二篇:实验一 四位串行进位加法器的设计


实验一 四位串行进位加法器的设计

一、实验目的

1. 理解一位全加器的工作原理

2. 掌握串行进位加法器的逻辑原理

3. 进一步熟悉Quartus软件的使用,了解设计的全过程,

二、实验内容

1. 采用VHDL语言设计四位串行进位的加法器

2. 采用画原理图的方法设计四位串行进位加法器

三、实验步骤

提示:

使用VHDL语言设计时,项目中包含2个VHDL设计文件,一个是低层的元件即一位全加器;一个是顶层的实体,4位串行进位加法器,其设计采用了例化的语句。注意,此两个设计文件放在同一个文件夹中。

使用原理图的方法设计时,首先将一位全加器设置成可调用的元件,方法是:使用VHDL语言设计一位全加法,选择

File—Create/Update---Create Symbol Files for Current File命令,将当前设计文件变成一个元件符号存盘,以待在高层次设计中调用。之后,建立四位加法器的顶层文件,通过选择File—New 命令并选择Block Diagram/Schematic File选项打开原理图编辑窗口,调出元件,依据设计的原理图连接四位加法器电路图。

四、实验现象

说明功能仿真的波形图

五、 实验体会与收获

说明发现问题以及解决的方法

特别提醒:不要将项目所在的文件夹设在计算机已有的安装目录下,更不要将项目文件夹直接放在Quartus安装目录中。

更多相关推荐:
加法器 数电实验报告三

实验三加法器一实验目的1掌握用SSI器件实现全加器的方法2掌握用MSI组合逻辑器件实现全加器的方法3掌握集成加法器的应用二实验设备及器件1数字逻辑电路实验板1块274HCLS00四二输入与非门1片374HCLS...

八位加法器的设计实验报告

八位加法器的设计实验报告学号U20xx15272班级信息安全0901姓名方浏洋日期20xx528位加法器的设计实验报告目录一实验概述2二设计思路321Quartus中74181的功能分析3228位先行加法器的设...

四位加法器实验报告

四位加法器实验报告1实验目的掌握组合逻辑电路的基本分析与设计方法理解半加器和全加器的工作原理并掌握利用全加器构成不同字长加法器的各种方法学习元件例化的方式进行硬件电路设计学会利用软件仿真实现对数字电路的逻辑功能...

加法器的基本原理实验报告

一实验目的1了解加法器的基本原理掌握组合逻辑电路在Quartus中的图形输入方法及文本输入方法2学习和掌握半加器全加器的工作和设计原理3熟悉EDA工具QuartusII和Modelsim的使用能够熟练运用Vri...

加法器设计实验报告全

重庆XXXX实验报告课程名称实验名称实验类型系别年级班别学生姓名实验教师电子电路基础实验加法器设计设计学时3学时物理与电子工程学院专业电子信息工程09级电信2班学期20xx20xx上xxx学号20xx0701x...

数字电路加法器实验报告

中山大学移动信息工程学院本科生实验报告20xx学年秋季学期课程名称数字电路实验任课教师王军助教李正一实验题目Lab9用3种不同的方法实现4位加法器1行为级描述的加法器2行波进位加法器3超前进位加法器二实验目的1...

串行加法器实验报告

深圳大学实验报告课程名称学院信息工程学院班级实验时间实验报告提交时间教务部制实验要求设计一个串行数据检测器要求连续4个或4个以上为1时输出为1其他输入情况为0编写测试模块对模块进行各种层次的仿真并观察波形编写实...

FPGA四位加法器实验报告

题目含异步清0和同步使能的4位加法计数器一实验目的学习时序电路的设计仿真和硬件测试进一步熟悉VHDL技术二实验原理如图是一含计数使能异步复位和计数值并行预置功能4位加法计数器4位锁存器rst是异步清0信号高电平...

谭会生eda实验报告八位加法器

EDA实验报告学院电气学院班级电科1班学号12401720xx6姓名刘明煌实验二八位加法器是设计1实验目的1进一步熟悉掌握Quartus2进一步熟悉和掌握GW48CK或其他EDA实验开发系统的应用3学习和掌握V...

verilog四位BCD加法器实验报告

1实验目的进一步熟悉modelsim仿真工具的使用方法学会设计验证的方法和流程编写一个4位BCD加法器并且用modelsim对其仿真2实验任务进一步熟悉modelsim仿真基本流程并完成一个4位BCD加法器用m...

数电实验报告1.2-一位减法器、一位加法器

lt熟悉QuartusII和VerilogHDL数字逻辑电路设计基础环境gt实验报告学生姓名班级学号指导老师李旭文超周11自动化11380331138019lt实验报告内容gt一实验名称学习QurtusII基本...

4位加法器设计EDA实验

加法电路实验报告实验任务1建立新的工程编写四位加法器的VHDL代码2编译仿真并下载到DE2实验板测试完成4位加法器的设计实验内容14位串行进位加法器1设计电路2VHDL代码设计中先编写1位的加法在此基础上构造4...

加法器实验报告(30篇)