组成原理 基本运算器实验

时间:2024.5.8

计算机与信息工程学院实验报告

计算机与信息工程学院实验报告

组成原理基本运算器实验

注:硬件类实验报告请按附录1的格式填写;软件类实验报告请按附录2的格式填写。填写时应去掉红色的提示语。

·1·

计算机与信息工程学院实验报告

附录1:硬件类实验报告

一、 实验内容

计算机的一个最主要的功能就是处理各种算术和逻辑运算,这个功能要由CPU 中的运算器来完成,运算器也称作算术逻辑部件ALU。因此本次实验内容要了解基本的运算器和运算器的基本结构。

二、 实验目的及要求

(1)了解运算器的组成结构。

(2)掌握运算器的工作原理。

三、 实验原理

本实验的原理如图 1-1-1 所示。

运算器内部含有三个独立运算部件,分别为算术、逻辑和移位运算部件,要处理的数据存于暂存器A和暂存器B,三个部件同时接受来自A 和B 的数据(有些处理器体系结构把移位运算器放于算术和逻辑运算部件之前,如ARM),各部件对操作数进行何种运算由控制信号S3?S0和CN 来决定,任何时候,多路选择开关只选择三部件中一个部件的结果作为ALU 的输出。如果是影响进位的运算,还将置进位标志FC,在运算结果输出前,置ALU 零标志。ALU 中所有模块集成在一片CPLD 中。逻辑运算部件由逻辑门构成,较为简单,而后面又有专门的算术运算部件设计实验,在此对这两个部件不再赘述。移位运算采用的是桶形移位器,一般采用交叉开关矩阵来实现,交叉开关的原理如图1-1-2 所示。图中显示的是一个4X4 的矩阵(系统中是一个8X8 的矩阵)。

每一个输入都通过开关与一个输出相连,把沿对角线的开关导通,就可实现移位功能,即:

(1) 对于逻辑左移或逻辑右移功能,将一条对角线的开关导通,这将所有的输入位与所使用的输

出分别相连,而没有同任何输入相连的则输出连接0。

(2) 对于循环右移功能,右移对角线同互补的左移对角线一起激活。例如,在4 位矩阵中使

用‘右1’和‘左3’对角线来实现右循环1 位。

(3) 对于未连接的输出位,移位时使用符号扩展或是0 填充,具体由相应的指令控制。使用

另外的逻辑进行移位总量译码和符号判别。

图 1-1-1 运算器原理图

运算器部件由一片 CPLD 实现。ALU 的输入和输出通过三态门74LS245 连到CPU内总线上,另外还有指示灯标明进位标志FC 和零标志FZ。请注意:实验箱上凡丝印标注有马蹄形标记‘ ’,表示这两根排针之间是连通的。图中除T4 和CLR,其余信号均来自于ALU单元的排线座,实验箱中所有单元的T1、T2、T3、T4 都连接至控制总线单元的T1、T2、T3、T4,CLR 都连接至CON 单元的CLR 按钮。T4 由时序单·2·

计算机与信息工程学院实验报告

元的TS4 提供(时序单元的介绍见附录二),其余控制信号均由CON 单元的二进制数据开关模拟给出。控制信号中除T4 为脉冲信号外,其余均为电平信号,其中ALU_B 为低有效,其余为高有效。

暂存器 A 和暂存器 B 的数据能在 LED 灯上实时显示,原理如图 1-1-3 所示(以 A0 为例, 其它相同)。进位标志 FC、零标志 FZ 和数据总线 D7?D0 的显示原理也是如此。运算器的逻辑功能表如表 1-1-1 所示,其中 S3 S2 S1 S0 CN 为控制信号,FC 为进位标志, FZ 为运算器零标志,表中功能栏内的 FC、FZ 表示当前运算会影响到该标志。

表 1-1-1 运算器逻辑功能表

*表中“X”为任意态,下同

四、 使用实验设备、仪器、材料

PC 机一台,TD-CMA 实验系统一套。

五、 实验方法过程(步骤)

(1)按图 1-1-5 连接实验电路,并检查无误。图中将用户需要连接的信号用圆圈标明(其它实验 相同)。

组成原理基本运算器实验

·3·

组成原理基本运算器实验

计算机与信息工程学院实验报告

(2)将时序与操作台单元的开关 KK2 置为‘单拍’档,开关 KK1、KK3 置为‘运行’档。 (3)打开电源开关,如果听到有‘嘀’报警声,说明有总线竞争现象,应立即关闭电源,重新检查接 线,直到错误排除。然后按动 CON 单元的 CLR 按钮,将运算器的 A、B 和 FC、FZ 清零。 (4)用输入开关向暂存器 A 置数。

① 拨动 CON 单元的 SD27?SD20 数据开关,形成二进制数 01100101(或其它数值),数据显 示亮为‘1’,灭为‘0’。

② 置 LDA=1,LDB=0,连续按动时序单元的 ST 按钮,产生一个 T4 上沿,则将二进制数 01100101 置入暂存器 A 中,暂存器 A 的值通过 ALU 单元的 A7?A0 八位 LED 灯显示。 (5)用输入开关向暂存器 B 置数。

① 拨动 CON 单元的 SD27?SD20 数据开关,形成二进制数 10100111(或其它数值)。 ② 置 LDA=0,LDB=1,连续按动时序单元的 ST 按钮,产生一个 T4 上沿,则将二进制数 10100111置入暂存器 B 中,暂存器 B 的值通过 ALU 单元的 B7?B0 八位 LED 灯显示。

(6)改变运算器的功能设置,观察运算器的输出。置 ALU_B=0、LDA=0、LDB=0,然后按表 1-1-1 置 S3、S2、S1、S0 和 CN 的数值,并观察数据总线 LED 显示灯显示的结果。如置 S3、S2、S1、 S0 为 0010,运算器作逻辑与运算,置 S3、S2、S1、S0 为 1001,运算器作加法运算。

六、 实验过程原始记录(数据、图表、计算等)

组成原理基本运算器实验

·4·

计算机与信息工程学院实验报告

七、 实验结果及分析

在实验中,由于实验条件、测量仪器、测量方法以及测量技术等因素的影响,使得测量值与客观真值之间存在着差值,这个差值叫做误差。因此,要对测量值与真值进行误差分析。误差分析可从下面两方面着手进行。(1)系统误差。其特点是:在相同实验条件下,对同一量进行反复多次测量时,误差总保持不变,或者测业条件改变时,误差可按一定规律变化。它产生的原因有:a.由于仪器本身缺陷或者没有按规定条件使用造成的误差;b.方法误差;c.由于实验者生理上的缺欠,如估计读数时始终偏大或偏小,反应总是快或慢造成的误差。消除系统误差,可用等精度测量,或者通过多次测量。(2)随机误差。其特点是:在相同条件下,对同一量进行多次测量时,在极力消除或者改正一切明显误差后,每次测量的误差以不可预知的方式变化着,这叫做随机误差。

对于非测量的实验,当然无须记录数据、分析误差、进行计算。其结果部分,主要描述和分析实验中所发生的现象,例如化学实验中反应速度的快慢,放热还是吸热,生成物的形态、颜色及气味;金相或岩相实验,拍摄的显微照片;电学实验,观察到的波形图,等等。

因实验结果部分是整个实验的核心和成果,在写作前,一般应将数据整理好,并列出表格,写作时分好类,按一定顺序安排好数字、表格及图,并做必要的说明。为了准确起见,最好采用专业术语来描写,不许任何夸张,引用的数据必须是真实的,结论必须可靠,图与表格要符合规范要求,数字的记录方法和处理方法必须符合规定,否则,将会使整个实验报告丧失价值。

结论是根据实验结果所做出的最后判断,并将实验结果逐条列出,叙述时应该采用肯定的语言,可以引用关键性数据,一般不应再列出图和表格。

讨论是对思考题的回答,对异常现象或数据的解释,对实验方法及装置提出改进建议。通常分条进行讨论,说明也比较简单,如影响实验的根本因素是什么?提高与扩大实验结果的途径是什么?实验中发现了哪些规律?实验中观察到哪些现象?将实验结果与理论结果相对照,解释它们之间存在的差异,测量的误差分析。如果认为没有必要讨论,那么也可以不写。对整个实验的数据、波形、实验现象用所学的知识进行分析讨论,并加以总结。

·5·


第二篇:华中科技大学 组成原理实验报告 运算器组成实验


课程名称:      计算机组成原理           

专业班级:  信息安全1003     

    号:  U201014669          

    名:  蒋志斌              

同组成员:  张源信              

报告日期:  20125          

计算机科学与技术学院

目录

一、实验名称............................................................................................................ 3

二、实验目的............................................................................................................ 3

三、实验设备............................................................................................................ 3

四、实验任务............................................................................................................ 3

五、预备知识............................................................................................................ 4

1、运算器的相关知识......................................................................................... 4

2、注意事项:................................................................................................... 5

六、设计思路、电路实现与电路分析说明.................................................................. 5

1、任务分析....................................................................................................... 5

2、设计思路....................................................................................................... 7

3、电路实现与详细分析说明.............................................................................. 8

七、实验结果的记录与分析..................................................................................... 10

八、实验中碰到的问题及解决办法........................................................................... 11

九、收获与体会....................................................................................................... 11

十、参考书目.......................................................................................................... 12

一、实验名称

实验名称:运算器组成实验

二、实验目的

1、掌握带累加器的运算器实验

2、掌握溢出检测的原理及实现方法

3、理解有符号数和无符号数运算的区别

4、理解基于补码的加\减运算实现原理

5、熟悉运算器的数据传输通路

6、利用74181和74182以及适当的门电路和多路选择器设计一个运算,要求支持有符号数和无符号数的运算支持补码加减法运算,支持有符号数溢出检测等功能

三、实验设备

JZYL—Ⅱ型计算机组成原理实验仪一台

芯片:74LS181运算器芯片         2片

           74LS373 8D锁存器          3片

四、实验任务

自己设计一个电路和利用实验参考电路进行实验,实验要求先将多个运算数据事先存入存储器中,再由地址选中,选择不同的运算指令,进行运算,并将结果显示,还可以进行连续运算和移位,最后将最终结果写入到存储器中。

五、预备知识

1、运算器的相关知识

运算器是对数据进行加工处理的部件,它具体实现数据的算术运算和逻辑运算,所以又称算术逻辑运算部件,简称ALU,它是中央处理器的重要组成部分。计算机中的运算器结构一般都包含如下几个部分:加法器、一组通用寄存器、输入数据选择电路和输出数据控制电路等。74LS181能执行16种算术运算和16种逻辑运算,当工作方式控制端(M)为低电平时执行算术运算,当工作方式控制端(M)为高电平时执行逻辑运算,运算功能由功能选择端(S0-S3)决定。

对74LS181的说明:

引出端符号:

                        运算数输入端(低电平有效)

                        运算器输入端(低电平有效)

                            进位输入端

                          进位输出端

                        运算输出端(低电平有效)

                            工作方式控制

                        功能选择

2、注意事项:

1)74LS181的输入和输出应按顺序,不能接乱或接反。

2)实验中的开关较多,实验时若记不清楚就容易因混乱而发生错误,因此对于用不到的引脚就不用接开关了。

六、设计思路、电路实现与电路分析说明

1、任务分析

方案选择:这个实验既可以自己设计电路,也可以参考老师所给的电路。我们选择了参考老师所给的参考电路。

芯片选择与分析:根据方案的示意图,方案需要用到两片74LS181芯片和三片74LS373芯片。其中74LS181做数据运算器,74LS373做数据锁存器。

各芯片介绍如下:

1)74LS373:74LS373是一个8D锁存器。当三态允许控制端 OE 为低电平时,O0~O7 为正常逻辑状态,可用来驱动负载或总线。当 OE 为高电平时,O0~O7 呈高阻态,即不驱动总线,也不为总线的负载,但锁存器内部的逻辑操作不受影响。   当锁存允许端 LE 为高电平时,O 随数据 D 而变。当 LE 为低电平时,O 被锁存在已建立的数据电平。当 LE 端施密特触发器的输入滞后作用,使交流和直流噪声抗扰度被改善 400mV。   

引出端符号:   

D0~D7 数据输入端   

OE 三态允许控制端(低电平有效)   

LE 锁存允许端   

O0~O7 输出端

                74LS373管脚图

            74LS373真值表

2)74LS181:74LS181是一个四位运算器。实验中要用多个如下图所示的异或门来实现数据的奇偶校验。

                              74LS181 管脚图

2、设计思路

1)将两片74LS181通过373连在一起,通过373的锁存功能实现八位运算

2)溢出检测的设计:

无符号:无符号运算中,若最高位存在进位,则表示有溢出,否则则表示无溢出,所以只需直接将最高进位位(即Cn+4)与灯相接,高电位表示有溢出,低电位表示无溢出(电路图略)

有符号:根据运算过程中最高数据位的进位与符号位的进位位是否一致进行检测,设运算过程中最高数据位的进位产生的进位信号为Cd,符号位产生的进位信号为Cf,当参加运算的两数均为正数时,则Cf = 0且符号位之和为Sf = 0,此时若Cd = 1,则导致运算结果的符号位与参加运算的数的符号位不同,会发生溢出;当参加运算的两数为负数,则Cf = 1,且之和为Sf = 0,此时只有Cd = 1才能使Sf = 1,运算结果的符号位才与参加运算的符号相同,若Cd = 0,则Sf = 0导致运算结果的符号位与参与运算的数的符号位不同,也会发生溢出。综上所述,利用公式即可进行溢出检测。

但上述方案在已有条件下难以实现,经老师建议,改为根据操作数和运算结果的符号是否一致进行溢出检测。设为参加运算数的符号位,为结果的符号位,为溢出标志符,当取1时表示发生一处。基于该方法的公式为:

3、电路实现与详细分析说明

1) 74LS181模块:第一个cn接开关,第一个的cn+4接第二个的cn;第二个181的cn+4接入溢出检测模块;连个的M接在一起,接到开关上;S3至S0依次接到控制开关上;A0至A3分别依次接到两个373的输出端Q上;B0至B3分别依次和开关相连;F3至F0分别依次接到373的输入端D,同时接到指示灯上。

74LS181在实验中的电路连接

2)74LS373:373(U1)的8D至1D依次接到两个181的F3至F0上;U2和U3的8Q至5Q依次接到181的A0至A3上;373(U1)的8Q至1Q依次接到两个373的输入端D上;

74LS373(U2、U3)中的电路连接

3)溢出检测模块:运算数的符号位与求反后的运算结果符号位相与,与运算数符号位求反并与运算结果符号位相与的结果求或,结果输出至溢出检测灯。

            溢出检测部分的电路连接

总电路图:

七、实验结果的记录与分析

1、实验过程1构造八位运算器检测记录:

构造八位运算器检测记录表

该记录表明:8位运算器构造成功

2、实验过程2添加溢出检测检测记录

添加溢出检测检测记录表

该记录表明:溢出检测添加失败,没有达到预期效果

3、实验过程3添加373芯片后检测记录

添加373芯片后检测记录表

该记录表明:可实现累计和数据传送

4、实验过程4添加求补电路后检测记录

添加求补电路后检测记录表

该记录表明:可实现求补功能并完成负数运算和减法运算

八、实验中碰到的问题及解决办法

本实验过程中遇到的最大问题是溢出检测的实现问题,最初采用的检测方案即为实验过程第2步所述方案,但连接后发现不能达到预期效果,经过向老师请教,发觉上述溢出检测设计方案中难以取出最高有效数据位,而且在只用两片LS74181芯片的前提下也难以实现符号位的相加,所以也难以取出符号位的进位。所以决定换一种溢出检测方案,改为根据操作数和运算结果的符号位是否一致来进行溢出检测。

只有两个符号相同的数相加才有可能产生溢出检测,因此,可根据操作数和运算结果的符号位是否一致进行检测。设Xf,Yf为参加运算数的符号位,Sf为结果的符号位,V为溢出标识符,则该方法可用公式来实现。

九、收获与体会

这是计算机组成原理的第一次实验,因为之前做过数字逻辑的实验,而且老师也对实验台进行了介绍,所以虽然是第一次接触该实验台,但是对仪器还是相对熟悉的,了解各芯片的基本原理及使用方法。这次实验老师在讲解的过程中给出了整个实验的思路并将实验分为了四块,每块递进处理,所以上手还是比较快的,按照老师给出的思路,很快完成了第一步,做出了8位计算器,并且进行算数运算与逻辑运算测试均得到了预期效果。然后开始进行第二步,为该运算器添加溢出检测,经过商议,决定采用课本上给出的第二种溢出检测方法,即根据运算过程中最高数据为的进位与符号位的进位是否一致来进行溢出检测,在草稿纸上画出简单的电路图后开始连线,连线完毕后进行检测却出现了错误,在没有溢出时却出现了有溢出标志,仔细检查电路连线没有发现错误,后来通过向老师请教和与同伴商量,发觉该方案存在一些问题:难以取出最高有效数据位,而且在只用两片LS74181芯片的前提下也难以实现符号位的相加,所以也难以取出符号位的进位,所以该方案不适合在本实验中使用。按照老师的建议,可以采用课本上给出的第一种方案,即根据操作数和运算结果的符号位是否一致来进行检测,但由于前面已花费了大量的时间,决定更改方案时以接近实验的结束时间,所以本实验最终没有完成全部任务,在溢出检测模块还存在一些问题。接下来是添加LS74373芯片和增加求补电路,因为老师已经进行了讲解而且给出了实验思路,所以实验比较顺利,并达到了预期目的。

本次实验花费了大量的时间,而且最终没有达到理想效果,总结其原因有一下几点:(1)没有在实验前仔细地检查实验设备,虽然也进行了一些检查,但不够仔细,所以在实验过程中多次因为接触不良而纠结;(2)实验过程中不够冷静,没有认真思考,例如在溢出检测方案的选择问题上,没有经过冷静分析便盲目动手,造成了本实验中最大的失误;(3)对基础知识的掌握不够牢固,在实验过程中分析错误时存在困难,从而造成因为181芯片输入的错误而花费了很多时间检查线路。

虽然这样,通过本次实验,依然加深了对运算器基本原理的了解,学会了如何利用4位运算器来构造8位运算器并实现累加,实验过程中也再次培养了认真仔细负责的实验品质,为以后的学习奠定了基础。

十、参考书目

《计算机组成原理实验指导书》 王晓兰 周建国 吴秀敏 管军  编著

《计算机组成原理》      秦磊华 吴非 莫正坤  编著

更多相关推荐:
《计算机组成原理》运算器实验报告

《计算机组成原理》实验报告学院:专业:班级学号:AP0804114学生姓名:实验日期:指导老师:成绩评定:五邑大学信息学院计算机组成原理实验室实验一一、实验名称:运算器实验二、实验目的:1、掌握运算器的组成及工…

计算机组成原理运算器实验报告

计算机组成原理实验一运算器实验一实验目的1掌握简单运算器的数据传输方式2验证运算功能发生器74LS181及进位控制的组合功能二实验要求完成不带进位及带进位算数运算实验逻辑运算实验了解算数逻辑运算单元的运用三实验...

计算机组成原理实验-运算器组成实验报告

曾国江计算机组成原理实验报告计算机组成原理课程实验报告93运算器组成实验姓名曾国江学号系别计算机工程学院班级网络工程1班指导老师完成时间评语得分1曾国江计算机组成原理实验报告93运算器组成实验一实验目的1熟悉双...

计算机组成原理实验报告-运算器组成

计算机硬件实验室实验报告

计算机组成原理算术逻辑运算实验报告

算术逻辑运算实验报告算术逻辑运算实验报告课程名称计算机组成原理姓名学号实验名称算术逻辑运算实验专业软件工程班级指导教师王武实验日期20xx年10月12日实验成绩批阅教师签字第1页共5页张三李四一班算术逻辑运算实...

《计算机组成原理》运算器实验报告

一实验名称运算器实验二实验目的1掌握运算器的组成及工作原理2熟悉ALU执行算术运算与逻辑运算的具体实现过程3掌握ALU算术与逻辑运算的控制方法4了解多片ALU的组合扩展功能和进位链的实现三实验内容1两16位操作...

计算机组成原理运算器组成实验报告

计算机组成原理实验报告实验名称运算器组成实验专业软件工程学院计算机与软件学院姓名徐振兴班级2学号20xx1344069指导老师任勇军实验日期20xx524得分一实验类型原理性分析性二实验目的熟悉逻辑测试笔的使用...

计算机组成原理实验指导书

计算机组成原理实验指导书软件学院20xx9实验报告要求一该实验为计算机组成原理课程的仿真训练项目包括实验15每个实验6分共30分计入最终考核成绩二每人每个实验写一份实验报告要求在熟悉仿真软件和相关理论知识的基础...

计算机组成原理实验1 运算器实验

新疆师范大学计算机组成原理本科实验报告实验名称实验1运算器实验院系计算机科学技术学院班级111班学生姓名木拉提巴力学号20xx1601141025合作者姓名指导教师彭程老师教师评阅结果教师评语实验日期20xx年...

计算机组成原理实验报告

计算机组成原理实验报告软件学院计算机组成原理实验报告专业班级学号日期学生姓名指导教师计算机组成原理实验报告运算器组成实验一实验目的1熟悉双端口通用寄存器堆的读写操作2熟悉简单运算器的数据传送通路3验证运算器74...

计算机组成原理实验报告——1认识性实验_华北电力大学_李梅

综合实验报告20xx20xx年度第一学期名称计算机组成原理综合实验题目院系计算机系班级学号学生姓名指导教师李梅王晓霞设计周数第22周成绩日期20xx年1月一目的与要求1学习和了解TECXP16教学实验系统监控命...

计算机组成原理实验指导书

计算机组成原理实验指导书软件学院20xx9实验报告要求一该实验为计算机组成原理课程的仿真训练项目包括实验15每个实验6分共30分计入最终考核成绩二每人每个实验写一份实验报告要求在熟悉仿真软件和相关理论知识的基础...

计算机组成原理运算器实验报告(26篇)