EDA课程设计报告_交通灯控制与显示电路

时间:2024.4.13

EDA课程设计报告

指导教师:  XXX

班    级 :  08电信(1)班

姓    名 :   XXX

组 成 员 :   XXX

报告目录

1、          EDA技术综述

2、          设计实践报告

1)          课题名称

2)          内容摘要

3)          设计内容及要求

4)          设计方案及框图

5)          仿真电路设计

6)          仿真调试过程及结果记录

7)          相关元器件参考数据资料

8)          设计的收获、体会和建议

参考文献

第一部分 :EDA 技术综述

随着微电子技术和计算机技术的不断发展,在涉及通信、国防、航天、工业自动化、仪器仪表等领域工作中,EDA技术的含量以惊人的速度上升,从而使它成为当今电子技术发展的前沿之一。从目前的EDA技术来看,其发展趋势是政府重视、使用普及、应用文泛、工具多样、软件功能强大。

ESDA代表了当今电子设计技术的最新发展方向,它的基本特征是:设计人员按照"自顶 向下"的设计方法,对整个系统进行方案设计和功能划分,系统的关键电路用一片或几片专用集成 电路(ASIC)实现,然后采用硬件描述语言(HDL)完成系统行为级设计,最后通过综合器和适配 器生成最终的目标器件。这样的设计方法被称为高层次的电子设计方法。

回顾近30年电子设计技术的发展历程,可将EDA技术分为三个阶段。 (1) 七十年代为CAD阶段,这一阶段人们开始用计算机辅助进行IC版图编辑和PCB布局布 线,取代了手工操作,产生了计算机辅助设计的概念。 (2)八十年代为CAE阶段,与CAD相比,除了纯粹的图形绘制功能外,又增加了电路功能设 计和结构设计,并且通过电气连接网络表将两者结合在一起,以实现工程设计,这就是计算机辅助 工程的概念。CAE的主要功能是:原理图输入,逻辑仿真,电路分析,自动布局布线,PCB后分 析。 (3)九十年代为ESDA阶段。尽管CAD/CAE技术取得了巨大的成功,但并没有把人从繁重的 设计工作中彻底解放出来。在整个设计过程中,自动化和智能化程度还不高,各种EDA软件界面千 差万别,学习使用困难,并且互不兼容,直接影响到设计环节间的衔接。基于以上不足,人们开始 追求贯彻整个设计过程的自动化,这就是ESDA即电子系统设计自动化。

在信息通信领域,要优先发展高速宽带信息网、深亚微米集成电路、新型元器件、计算机及软件技术、第三代移动通信技术、信息管理、信息安全技术,积极开拓以数字技术、网络技术为基础的新一代信息产品,发展新兴产业,培育新的经济增长点。要大力推进制造业信息化,积极开展计算机辅助设计(CAD)、计算机辅助工程(CAE)、计算机辅助工艺(CAPP)、计算机机辅助制造(CAM)、产品数据管理(PDM)、制造资源计划(MRPII)及企业资源管理(ERP)等。有条件的企业可开展“网络制造”,便于合作设计、合作制造,参与国内和国际竞争。开展“数控化”工程和“数字化”工程。自动化仪表的技术发展趋势的测试技术、控制技术与计算机技术、通信技术进一步融合,形成测量、控制、通信与计算机(M3C)结构。在ASIC和PLD设计方面,向超高速、高密度、低功耗、低电压方向发展。

外设技术与EDA工程相结合的市场前景看好,如组合超大屏幕的相关连接,多屏幕技术也有所发展。在EDA软件开发方面,目前主要集中在美国。但各国也正在努力开发相应的工具。日本、韩国都有ASIC设计工具,但不对外开放 。中国华大集成电路设计中心,也提供IC设计软件,但性能不是很强。相信在不久的将来会有更多更好的设计工具有各地开花并结果。据最新统计显示,中国和印度正在成为电子设计自动化领域发展最快的两个市场,年复合增长率分别达到了50%和30%。

ESDA技术的基本特征   

ESDA代表了当今电子设计技术的最新发展方向,它的基本特征是:设计人员按照"自顶 向下"的设计方法,对整个系统进行方案设计和功能划分,系统的关键电路用一片或几片专用集成 电路(ASIC)实现,然后采用硬件描述语言(HDL)完成系统行为级设计,最后通过综合器和适配 器生成最终的目标器件。这样的设计方法被称为高层次的电子设计方法,具体流程还将在4.2节中 做深入介绍。下面介绍与ESDA基本特征有关的几个概念。

"自顶向下"的设计方法

10年前,电子设计的基本思路还是选择标准集成电路"自底向上"(Bottom-Up)地构 造出一个新的系统,这样的设计方法就如同一砖一瓦地建造金字塔,不仅效率低、成本高而且 还容 易出错。

高层次设计给我们提供了一种"自顶向下"(Top-Down)的全新的设计方法,这种设计 方法首先从系统设计入手,在顶层进行功能方框图的划分和结构设计。在方框图一级进行仿真、纠 错,并用硬件描述语言对高层次的系统行为进行描述,在系统一级进行验证。然后用综合优化工具 生成具体门电路的网表,其对应的物理实现级可以是印刷电路板或专用集成电路。由于设计的主要 仿真和调试过程是在高层次上完成的,这不仅有利于早期发现结构设计上的错误,避免设计工作的 浪费,而且也减少了逻辑功能仿真的工作量,提高了设计的一次成功率。

ASIC设计

现代电子产品的复杂度日益加深,一个电子系统可能由数万个中小规模集成电路构 成,这就带来了体积大、功耗大、可靠性差的问题,解决这一问题的有效方法就是采用ASIC (Application Specific Integrated Circuits)芯片进行设计。ASIC按照设计方法的不同可分为:全定制ASIC,半定制ASIC,可编程ASIC(也称为可编程逻辑器件)。

设计全定制ASIC芯片时,设计师要定义芯片上所有晶体管的几何图形和工艺规则,最 后将设计结果交由IC厂家掩膜制造完成。优点是:芯片可以获得最优的性能,即面积利用率高、速度快、功耗低。缺点是:开发周期长,费用高,只适合大批量产品开发。

半定制ASIC芯片的版图设计方法有所不同,分为门阵列设计法和标准单元设计法,这 两种方法都是约束性的设计方法,其主要目的就是简化设计,以牺牲芯片性能为代价来缩短开发时间。

可编程逻辑芯片与上述掩膜ASIC的不同之处在于:设计人员完成版图设计后,在实验 室内就可以烧制出自己的芯片,无须IC厂家的参与,大大缩短了开发周期。

可编程逻辑器件自七十年代以来,经历了PAL、GAL、CPLD、FPGA几个发展阶段,其中 CPLD/FPGA属高密度可编程逻辑器件,目前集成度已高达200万门/片,它将掩膜ASIC集成度高的 优点和可编程逻辑器件设计生产方便的特点结合在一起,特别适合于样品研制或小批量产品开发,使产品能以最快的速度上市,而当市场扩大时,它可以很容易的转由掩膜ASIC实现,因此开发风 险也大为降低。

EDA技术发展迅猛,完全可以用日新月异来描述。EDA技术的应用广泛,现在已涉及到各行各业。EDA水平不断提高,设计工具趋于完美的地步。

Proteus 软件 是英国Labcenter electronics公司出版的EDA 工具软件 (该软件 中国 总代理为广州风标电子技术有限公司)。它不仅具有其它EDA工具软件的仿真功能,还能仿真单片机及外围器件。它是目前最好的仿真单片机及外围器件的工具。虽然目前国内推广刚起步,但已受到单片机爱好者、从事单片机教学的教师、致力于单片机开发应用的科技工作者的青睐。Proteus是世界上著名的EDA工具( 仿真软件 ),从原理图布图、代码调试到单片机与外围电路协同仿真,一键切换到PCB设计,真正实现了从概念到产品的完整设计。是目前世界上唯一将电路仿真软件、PCB设计软件和虚拟模型仿真软件三合一的设计平台,其处理器模型支持8051、HC11、PIC10/12/16/18/24/30/DsPIC33、AVR、ARM、8086和MSP430等,20##年即将增加Cortex和DSP系列处理器,并持续增加其他系列处理器模型。在编译方面,它也支持IAR、Keil和MPLAB等多种 编译器 。

其功能特点

Proteus软件具有其它EDA工具软件(例:multisim)的功能。这些功能是:

(1)原理布图

(2)PCB自动或人工布线

(3)SPICE电路仿真

革命性的特点

(1)互动的电路仿真

用户甚至可以实时采用诸如RAM,ROM,键盘,马达,LED,LCD,AD/DA,部分SPI器件,部分IIC器件。

(2)仿真处理器及其外围电路

可以仿真51系列、AVR、PIC、ARM、等常用主流单片机。还可以直接在基于原理图的虚拟原型

上编程,再配合显示及输出,能看到运行后输入输出的效果。配合 系统配置 的虚拟逻辑分析仪、示波器等,Proteus建立了完备的电子设计开发环境。

第二部分 :设计实践报告

1)          课题名称 :交通灯控制与显示电路

2)          内容摘要 :

本设计为一个拥有特殊状态控制及倒计时显示的交通灯控制显示系统,

目前,全国大中城市普遍存在着道路拥挤、车辆堵塞、交通秩序混乱的现象,交通事故频发,这给人民的生命财产安全带来了极大的损失。城市交通控制系统(UTC ,Urban Traffic Control System)是现代城市智能交通系统(IDJ ,Intelligent transport system)的组成之一,主要用于城市道路交通的控制与管理。城市平交路口实现交通信号控制是城市交通管理现代化的基本标志之一,是提高交通管理效能的重要技术手段。路口信号控制器是控制交叉路口交通信号的设备,它是交通信号控制的重要组成部分。各种交通控制方案,最终都要由路口信号控制器来实现。为了确保十字路口的行人和车辆顺利、畅通地通过,往往采用电子控制的交通信号来进行指挥。伴随着社会的发展以及人类生活水平的提高,汽车的数量在不断增加,EDA技术的发展和应用领域也在不断的扩大与深入,机械、电子、通信、航空航天、化工、矿产、生物、医学、军事等各个领域的重要性日益突出。利用EDA技术设计交通灯来完成这个需求就显的更加迫切,同样也是非常的实用和合理。

关键词:LED灯、数码管、555、74ls164、74ls192

``

3)          设计内容及要求 :

通过分析可以知道,所要设计的交通信号灯控制电路要能够适用于南北干道和东西干道的汇合点形成的十字交叉路口。能够做到在绿灯跳变红灯的过程中能够用黄灯进行过渡,使得行驶过程中的车辆有足够的时间停下来。还要求设立一组计时显示器,能够显示相应的红、黄、绿倒计时

具体要求如下:

(1)将状态信号译码为东西、南北方向6对交通灯的控制信号,实现正常时序控制功能;

(2)特殊状态期间,东西、南北两个方向的红灯同时发亮,实现特殊状态控制功能;

(3)东西方向、南北方向的灯亮时间分别用数码管显示;

(4)掌握十字路口交通灯控制的设计原理,进一步对所学的EDA知识进行掌握与实际应用,熟悉电子设计自动化(EDA)的相关技术、掌握计算机辅助电子线路设计的基本方法。

(5)锻炼自己获取信息的能力,以及能够独立自主的思考和解决问题的能力。

4)          设计方案、系统框图 :

系统采用纯数电模电原理设计,采用分立元件组成。

由8位移位寄存器74ls164构成交通灯核心控制电路及双时钟方式的十进制可逆计数器74LS192构成的显示译码电路。东西南北各个方向的红绿灯时间为30秒,黄灯时间为5秒,用七段数码管配以译码驱动电路完成显示任务。

时钟由555时基芯片产生稳定的5VTTL电平1Hz时钟给显示电路使用,1Hz时钟经74LS90五分频后得到0.2Hz信号供给控制部分74ls164得到红灯时间为30秒,黄灯为5秒。

当需要特殊状态时,由控制开关控制电路,使特殊状态时所有方向为红灯显示,同时倒计时显示为零的特殊状态显示

系统框图如图所示:

 

5)          仿真电路设计 :

仿真平台采用proteus软件仿真图如图所示:

 


PROTEL99se原理图及PCB图:

6)          仿真调试过程及结果记录 :

搭建电路如图所示,多次调整相关参数后运行后如图所示:

7)          相关元器件参考数据资料

四2输入与非门

00 为四组 2 输入端与非门(正逻辑),共有 54/7400、54/74H00、54/74S00、54/74LS00

引出端符号

1A-4A,1B-4B 输入端

1Y-4Y 输出端

三3输入与非门

10 为三组3 输入端与非门(正逻辑),共有54/7410、54/74H10、54/74S10、54/74LS10引出端符号

1A-3A 输入端

1B-3B 输入端

1C-3C 输入端

1Y-4Y 输出端

8 位移位寄存器(串行输入,并行输出)74LS164

 当清除端(CLEAR)为低电平时,输出端(QA-QH)均为低电平。

串行数据输入端(A,B)可控制数据。当A、B 任意一个为低电平,则禁止新数据输入,在时钟端(CLOCK)脉冲上升沿作用下Q0 为低电平。当A、B 有一个为高电平,则另一个就允许输入数据,并在CLOCK 上升沿作用下决定Q0 的状态

74LS192为 可 预 置 的 十 进 制 同 步 加 / 减 计 数 器 , 共 有 54192/74192,54LS192/74LS192 两种线路结构形式。

192 的清除端是异步的。192 的预置是异步的。192 的计数是同步的。

引出端符号

 T__C_ D 错位输出端(低电平有效)

 T__C_ U 进位输出端(低电平有效)

 CPD 减计数时钟输入端(上升沿有效)

 CPU 加计数时钟输入端(上升沿有效)

MR 异步清除端 P0~P3 并行数据输入端

P__L_ 异步并行置入控制端(低电平有效)

 Q0~Q3 输出端

555 定时器是一种模拟和数字功能相结合的中规模集成器件。一般用双极性工艺制作的称为 555,用 CMOS 工艺制作的称为 7555,除单定时器外,还有对应的双定时器 556/7556。555 定时器的电源电压范围宽,可在 4.5V~16V 工作,7555 可在 3~18V 工作,输出驱动电流约为 200mA,因而其输出可与 TTL、CMOS 或者模拟电路电平兼容。

四2输入或门

32 为四组 2 输入端或门(正逻辑),共有 54/7432、54/74S32、54/74LS32 三种线路 结构型式

8)          写出课程设计的收获、体会和建议等 :

通过这次的课程设计,我真的学会了好多,加深了对EDA的了解,熟悉了EDA工具,并进一步熟练了对protel99se、proteus、multisim等软件的操作。在做本次课程设计的过程中,遇到了很多问题,使我发现自己以前学习上存在的不足。并加深了对交通灯原理和设计思路的了解。同时也掌握了做课程设计的一般流程,为以后的设计积累了一定的经验。

做课程设计时,先查阅相关知识,了解交通灯的原理和一些常规功能,把原理吃透,确定一个大的设计方向,构思出大体设计方案,并多做打算,选出几个比较合理的方案,经过小组讨论,查阅资料等,最终确定下一个方案,在按照这个方向分模块的把要实现的功能用流程图的形式展示,然后根据相应的功能选择相应器件,运用数电知识,列出逻辑函数真值表等,实现相应的逻辑功能,按照设计原理布线,仿真。由于本次设计采用纯数电方式,所以对于擅长单片机的我来说难度较大,经过多次设计仿真论证,多次的失败过后终于完成了原理图的绘制和成功仿真。数字化时代的到来给人们的生活带来了极大的改变,有理由相信随着数字化的深入,交通灯控制器的功能将日趋完善。

作为组长的我不仅在EDA方面有所提高,还在管理协调方面加强了锻炼,认识到同学们的实践水平普遍不高,在实际操作中遇到许多可以避免的问题,水平有待于提高,但经过这种实际动手的设计过后,提高了自己的解决实际问题的能力,团结协作能力,又是一次很好的锻炼机会

参考文献:

1、《Proteus—电子线路设计、制作与仿真》清华大学出版社

2、《Protel99se电路设计技术入门与应用》电子工业出版社

3、《数字电子技术基础》第五版 清华大学出版社

4、《模拟电子技术基础》第四版 清华大学出版社

5、《Multisim 8仿真与应用实例开发》清华大学出版社


第二篇:EDA交通灯控制灯课程设计


EDA交通灯控制器

一、 实验目的

设计交通灯控制系统

设计要求如下

1.东西、南北方向由红、黄、绿指示是否允许通行,绿灯定时为50秒、黄灯10秒和红灯60秒

二、实验内容

编写源程序代码

library ieee;

use ieee.std_logic_1164.all;

entity traffic is

port (clk,rst:in std_logic;

time1,time2:out integer range 0 to 59;

r1,r2,y1,y2,g1,g2:out std_logic);

end traffic;

architecture rtl of traffic is

type state is(r1g2,r1y2,r2g1,r2y1);

signal n_state ,c_state:state;

signal cnt ,init:integer range 0 to 49;

signal ov,load,a1,a2:std_logic;

begin

state_reg:process(clk,rst)

begin

if rst='1'then c_state<=r1g2;

elsif clk'event and clk='1'then

c_state <=n_state;

end if;

end process;

state_com:process(c_state,ov) --状态机

begin

r1<='0';r2<='0';g1<='0';g2<='0';a1<='0';a2<='0';y1<='0';y2<='0'; case c_state is

when r1g2=>a1<='1';r1<='1';g2<='1';init<=9;

if ov='1' then n_state<=r1y2;

else n_state<=r1g2;

end if;

when r1y2=>r1<='1';y2<='1';init<=49;

if ov='1' then n_state<=r2g1;

else n_state<=r1y2;

end if;

when r2g1=>a2<='1';r2<='1';g1<='1';init<=9;

if ov='1' then n_state<=r2y1;

else n_state<=r2g1;

end if;

when r2y1=>r2<='1';y1<='1';init<=49; if ov='1' then n_state<=r1g2; else n_state<=r2y1;

end if;

end case;

end process;

process(clk,rst)

begin

if rst='1' then

cnt<=49;

elsif clk'event and clk='1' then if load='1' then cnt<=init; else

cnt<=cnt-1;

end if;

end if;

end process;

ov<='1'when cnt=0 else '0';

load<=ov;

time1<=cnt+10 when a1='1'else cnt; time2<=cnt+10 when a2='1'else cnt; end rtl;

三、实验记录及分析

1、仿真波形

EDA交通灯控制灯课程设计

当rst='1'时交通灯初始状态为r1g2;当rst='0'时,两条道上的时钟同时开始显示倒计时 ,第1路从59倒计时,弟2路从49开始倒计时;当第2路计到零,变r1y2,同时第2路从9开始倒计时,第1路继续原来的计时;到两路同时计到零后,状态变为r2g1,第2路从59倒计时,第1路从49开始倒计时;当第1路计到零,变r2y1,同时第1路从9开始倒计时,第2路继续原来的计时;当两路同时计数到零后,变为r1g2然后转换依次转换为 r1y2 、r2g1、r2y1不断循环下去 。 实验现象与设计要求一致,证明设计无误。

EDA交通灯控制灯课程设计

EDA交通灯控制灯课程设计

EDA交通灯控制灯课程设计

四 实验总结心得体会

本次实验交通灯控制系统的设计包括了计数器和状态机的两部分应用,实验过程中代码的编写学会了不同模块的结合与联系,在编写程序代码过程中出现了错误,但是在老师同学的帮助下得到了改正,学习了更多的知识, 对 VHDL语言的编写和对它的操作,进一步加深了解和掌握。

更多相关推荐:
交通灯课程设计报告6

电子技术课程设计报告目录第一章系统概述311系统概述312交通灯逻辑分析313总体设计方案3第二章单元电路设计与分析621秒脉冲信号发生器的设计622定时器的设计723控制器的设计824显示电路的设计11第三章...

单片机交通灯课程设计报告[1]

摘要31引言42总体设计方案521设计思路5211设计目的5212设计任务和内容6213方案比较设计与论证6214芯片简介922设计方框图153设计原理分析16313233交通灯显示时序的理论分析与计算16交通...

交通灯课程设计报告

桂林航院电子工程系单片机课程设计与制作说明书设计题目:智能交通灯控制专业年级:10级电子信息工程技术(1)班学号:**姓名:**同组姓名:**指导教师:**单片机技术课程设计与制作成绩评定表设计题目:智能交通灯…

交通灯控制电路设计报告

华北科技学院数字电路设计报告交通灯控制电路设计报告目录一设计任务和要求2二设计方案的总体思路与选择31时钟信号发生器电路设计论证42定时器设计论证43控制器论证44信号灯的论证4三电路设计计算与分析51秒脉冲的...

EDA交通灯课程设计报告(EDA)

数字电路课程设计报告字路课程设计交通灯设计第1页共18页报告数电数字电路课程设计报告目录序言3第一章设计任务和要求411设计任务412设计要求4第二章电路工作原理及方案设计4第三章单元电路设计与仿真631软件原...

交通灯课程设计报告

交通灯课程设计报告1设计思路目录1引言2设计任务与要求3总体方案设计2设计原理及参考框图3交通灯控制时序图4系统硬件设计部分1时间秒脉冲发生器260s30s5s计数器及定时电路3主控电路4红黄绿交通灯控制电路5...

基于单片机的交通灯课程设计报告[1]

基于单片机的交通灯课程设计报告摘要本设计是一个以微电子技术,计算机和通信技术为先导的,而计算机技术怎样与实际应用更有效的结合并有效的发挥其作用是科学界最热门的话题,也是当今计算机应用中空前活跃的领域。十字路口车…

PLC交通灯课程设计报告

信息与电子工程学院课程设计报告目录一课程设计概述311课程设计内容312课程设计技术指标3二方案的选择及确定4三系统硬件设计5四系统软件设计6五触摸屏设计8六系统调试9七总结以体会9八参考文献10九附录10附录...

C51单片机实验报告_流水灯_交通灯_定时器_双机交互_时钟

学号班级姓名指导老师单片机核心板实验要求一流水灯实验1实验目的1简单IO引脚的输出2掌握软件延时编程方法3简单按键输入捕获判断2完成功能要求1开机是点亮12发光二极管闪烁三下2按照顺时针循环依次点亮发光二极管3...

单片机课程设计报告交通灯

单片机交通灯设计洛阳理工学院电气工程与其自动化系专业自动化班级自动化八班姓名01白彦芳02何一婷11靳亚磊指导老师姚惠林洛阳理工学院单片机课程设计目录1设计任务和性能指标311设计任务412性能指标42设计方案...

单片机交通灯课程设计报告

摘要自从1858年英国人发明了原始的机械扳手交通灯之后随后的一百多年里交通灯改变了交通路况也在人们日常生活中占据了重要地位随着人们社会活动日益增加经济发展汽车数量急剧增加城市道路日渐拥挤交通灯更加显示出了它的功...

单片机交通灯课程设计报告(含电路图,源程序)

摘要31引言42总体设计方案521设计思路5211设计目的5212设计任务和内容6213方案比较设计与论证6214芯片简介922设计方框图153设计原理分析16313233交通灯显示时序的理论分析与计算16交通...

交通灯课程设计报告(25篇)