数字电路总结

时间:2024.4.21

常用数字电路及管脚大全 (2008-06-12 13:50)

分类: 工作笔记

寄存器 LS74 LS175 LS373

反相器:

Vcc 6A 6Y 5A 5Y 4A 4Y 六非门 74LS04

┌┴—┴—┴—┴—┴—┴—┴┐ 六非门(OC门) 74LS05

_ │14 13 12 11 10 9 8│ 六非门(OC高压输出) 74LS06

Y = A ) │

│ 1 2 3 4 5 6 7│

└┬—┬—┬—┬—┬—┬—┬┘

1A 1Y 2A 2Y 3A 3Y GND

驱动器:

Vcc 6A 6Y 5A 5Y 4A 4Y

┌┴—┴—┴—┴—┴—┴—┴┐

│14 13 12 11 10 9 8│

Y = A ) │ 六驱动器(OC高压输出) 74LS07

│ 1 2 3 4 5 6 7│

└┬—┬—┬—┬—┬—┬—┬┘

1A 1Y 2A 2Y 3A 3Y GND

Vcc -4C 4A 4Y -3C 3A 3Y

┌┴—┴—┴—┴—┴—┴—┴┐

_ │14 13 12 11 10 9 8│

Y =A+C ) │ 四总线三态门 74LS125

│ 1 2 3 4 5 6 7│

└┬—┬—┬—┬—┬—┬—┬┘

-1C 1A 1Y -2C 2A 2Y GND

Vcc -G B1 B2 B3 B4 B8 B6 B7 B8

┌┴—┴—┴—┴—┴—┴—┴—┴—┴—┴┐ 8位总线驱动器 74LS245 │20 19 18 17 16 15 14 13 12 11│

) │ DIR=1 A=>B

│ 1 2 3 4 5 6 7 8 9 10│ DIR=0 B=>A

└┬—┬—┬—┬—┬—┬—┬—┬—┬—┬┘

DIR A1 A2 A3 A4 A5 A6 A7 A8 GND

页首 非门,驱动器 与门,与非门 或门,或非门 异或门,比较器 译码器寄存器

正逻辑与门,与非门:

Vcc 4B 4A 4Y 3B 3A 3Y

┌┴—┴—┴—┴—┴—┴—┴┐

│14 13 12 11 10 9 8│

Y = AB ) │ 2输入四正与门 74LS08

│ 1 2 3 4 5 6 7│

└┬—┬—┬—┬—┬—┬—┬┘

1A 1B 1Y 2A 2B 2Y GND

Vcc 4B 4A 4Y 3B 3A 3Y

┌┴—┴—┴—┴—┴—┴—┴┐

__ │14 13 12 11 10 9 8│

Y = AB ) │ 2输入四正与非门 74LS00

│ 1 2 3 4 5 6 7│

└┬—┬—┬—┬—┬—┬—┬┘

1A 1B 1Y 2A 2B 2Y GND

Vcc 1C 1Y 3C 3B 3A 3Y

┌┴—┴—┴—┴—┴—┴—┴┐

___ │14 13 12 11 10 9 8│

Y = ABC ) │ 3输入三正与非门 74LS10

│ 1 2 3 4 5 6 7│

└┬—┬—┬—┬—┬—┬—┬┘

1A 1B 2A 2B 2C 2Y GND

Vcc H G Y

┌┴—┴—┴—┴—┴—┴—┴┐

│14 13 12 11 10 9 8│

) │ 8输入与非门 74LS30

│ 1 2 3 4 5 6 7│ ________

└┬—┬—┬—┬—┬—┬—┬┘ Y = ABCDEFGH

A B C D E F GND

页首 非门,驱动器 与门,与非门 或门,或非门 异或门,比较器 译码器寄存器

正逻辑或门,或非门:

Vcc 4B 4A 4Y 3B 3A 3Y

┌┴—┴—┴—┴—┴—┴—┴┐ 2输入四或门 74LS32

│14 13 12 11 10 9 8│

) │ Y = A+B

│ 1 2 3 4 5 6 7│

└┬—┬—┬—┬—┬—┬—┬┘

1A 1B 1Y 2A 2B 2Y GND

Vcc 4Y 4B 4A 3Y 3B 3A

┌┴—┴—┴—┴—┴—┴—┴┐ 2输入四或非门 74LS02

│14 13 12 11 10 9 8│ ___

) │ Y = A+B

│ 1 2 3 4 5 6 7│

└┬—┬—┬—┬—┬—┬—┬┘

1Y 1A 1B 2Y 2A 2B GND

Vcc 2Y 2B 2A 2D 2E 1F

┌┴—┴—┴—┴—┴—┴—┴┐ 双与或非门 74S51

│14 13 12 11 10 9 8│ _____

) │ 2Y = AB+DE

│ 1 2 3 4 5 6 7│ _______

└┬—┬—┬—┬—┬—┬—┬┘ 1Y = ABC+DEF

1Y 1A 1B 1C 1D 1E GND

Vcc D C B K J Y

┌┴—┴—┴—┴—┴—┴—┴┐ 4-2-3-2与或非门 74S64 74S65(OC门) │14 13 12 11 10 9 8│ ______________

) │ Y = ABCD+EF+GHI+JK

│ 1 2 3 4 5 6 7│

└┬—┬—┬—┬—┬—┬—┬┘

A E F G H I GND

页首 非门,驱动器 与门,与非门 或门,或非门 异或门,比较器 译码器寄存器

2输入四异或门 74LS86

Vcc 4B 4A 4Y 3Y 3B 3A

┌┴—┴—┴—┴—┴—┴—┴┐

│14 13 12 11 10 9 8│

) │ _ _

│ 1 2 3 4 5 6 7│ Y=AB+AB

└┬—┬—┬—┬—┬—┬—┬┘

1A 1B 1Y 2Y 2A 2B GND

8*2输入比较器 74LS688

_

Vcc Y B8 A8 B7 A7 B6 A6 B5 A5

┌┴—┴—┴—┴—┴—┴—┴—┴—┴—┴┐ 8*2输入比较器 74LS688 │20 19 18 17 16 15 14 13 12 11│

) │

│ 1 2 3 4 5 6 7 8 9 10│

└┬—┬—┬—┬—┬—┬—┬—┬—┬—┬┘

CE A1 B1 A2 B2 A3 B3 A4 B4 GND

_

Y=A1⊙B1+A2⊙B2+A3⊙B3+A4⊙B4+A5⊙B5+A6⊙B6+A7⊙B7+A8⊙B8

页首 非门,驱动器 与门,与非门 或门,或非门 异或门,比较器 译码器寄存器

3-8译码器 74LS138

Vcc -Y0 -Y1 -Y2 -Y3 -Y4 -Y5 -Y6 __ _ _ _ __ _ _ __ _ _ __ _

┌┴—┴—┴—┴—┴—┴—┴—┴┐ Y0=A B C Y1=A B B Y2=A B C Y3=A B C │16 15 14 13 12 11 10 9 │

) │ __ _ _ __ _ __ _ __

│ 1 2 3 4 5 6 7 8│ Y4=A B C Y5=A B C Y6=A B C Y7=A B C

└┬—┬—┬—┬—┬—┬—┬—┬┘

A B C -CS0 -CS1 CS2 -Y7 GND

双2-4译码器 74LS139

Vcc -2G 2A 2B -Y0 -Y1 -Y2 -Y3 __ __ __ __ __ __ __ __

┌┴—┴—┴—┴—┴—┴—┴—┴┐ Y0=2A 2B Y1=2A 2B Y2=2A 2B Y3=2A 2B │16 15 14 13 12 11 10 9 │

) │ __ __ __ __ __ __ __ __

│ 1 2 3 4 5 6 7 8│ Y0=1A 1B Y1=1A 1B Y2=1A 1B Y3=1A 1B

└┬—┬—┬—┬—┬—┬—┬—┬┘

-1G 1A 1B -Y0 -Y1 -Y2 -Y3 GND

8*2输入比较器 74LS688

_

Vcc Y B8 A8 B7 A7 B6 A6 B5 A5

┌┴—┴—┴—┴—┴—┴—┴—┴—┴—┴┐ 8*2输入比较器 74LS688 │20 19 18 17 16 15 14 13 12 11│

) │

│ 1 2 3 4 5 6 7 8 9 10│

└┬—┬—┬—┬—┬—┬—┬—┬—┬—┬┘

CE A1 B1 A2 B2 A3 B3 A4 B4 GND

_

Y=A1⊙B1+A2⊙B2+A3⊙B3+A4⊙B4+A5⊙B5+A6⊙B6+A7⊙B7+A8⊙B8

寄存器:

Vcc 2CR 2D 2Ck 2St 2Q -2Q

┌┴—┴—┴—┴—┴—┴—┴┐ 双D触发器74LS74

│14 13 12 11 10 9 8 │

) │

│ 1 2 3 4 5 6 7│

└┬—┬—┬—┬—┬—┬—┬┘

1Cr 1D 1Ck 1St 1Q -1Q GND

Vcc 8Q 8D 7D 7Q 6Q 6D 5D 5Q ALE

┌┴—┴—┴—┴—┴—┴—┴—┴—┴—┴┐ 8位锁存器 74LS373 │20 19 18 17 16 15 14 13 12 11│

) │

│ 1 2 3 4 5 6 7 8 9 10│

└┬—┬—┬—┬—┬—┬—┬—┬—┬—┬┘

-OE 1Q 1D 2D 2Q 3Q 3D 4D 4Q GND

下面介绍一下常用的74芯片,以便大家在电路中遇到了查询 ---------------------------------------------------- 型号 内容

---------------------------------------------------- 74ls00 2输入四与非门

74ls01 2输入四与非门 (oc)

74ls02 2输入四或非门

74ls03 2输入四与非门 (oc)

74ls04 六倒相器

74ls05 六倒相器(oc)

74ls06 六高压输出反相缓冲器/驱动器(oc,30v)

74ls07 六高压输出缓冲器/驱动器(oc,30v)

74ls08 2输入四与门

74ls09 2输入四与门(oc)

74ls10 3输入三与非门

74ls11 3输入三与门

74ls12 3输入三与非门 (oc)

74ls13 4输入双与非门 (斯密特触发)

74ls14 六倒相器(斯密特触发)

74ls15 3输入三与门 (oc)

74ls16 六高压输出反相缓冲器/驱动器(oc,15v)

74ls17 六高压输出缓冲器/驱动器(oc,15v) 74ls18 4输入双与非门 (斯密特触发) 74ls19 六倒相器(斯密特触发)

74ls20 4输入双与非门

74ls21 4输入双与门

74ls22 4输入双与非门(oc)

74ls23 双可扩展的输入或非门

74ls24 2输入四与非门(斯密特触发)

74ls25 4输入双或非门(有选通)

74ls26 2输入四高电平接口与非缓冲器(oc,15v) 74ls27 3输入三或非门

74ls28 2输入四或非缓冲器

74ls30 8输入与非门

74ls31 延迟电路

74ls32 2输入四或门

74ls33 2输入四或非缓冲器(集电极开路输出) 74ls34 六缓冲器

74ls35 六缓冲器(oc)

74ls36 2输入四或非门(有选通)

74ls37 2输入四与非缓冲器

74ls38 2输入四或非缓冲器(集电极开路输出) 74ls39 2输入四或非缓冲器(集电极开路输出) 74ls40 4输入双与非缓冲器

74ls41 bcd-十进制计数器

74ls42 4线-10线译码器(bcd输入)

74ls43 4线-10线译码器(余3码输入)

74ls44 4线-10线译码器(余3葛莱码输入) 74ls45 bcd-十进制译码器/驱动器

74ls46 bcd-七段译码器/驱动器

74ls47 bcd-七段译码器/驱动器

74ls48 bcd-七段译码器/驱动器

74ls49 bcd-七段译码器/驱动器(oc)

74ls50 双二路2-2输入与或非门(一门可扩展) 74ls51 双二路2-2输入与或非门

74ls51 二路3-3输入,二路2-2输入与或非门 74ls52 四路2-3-2-2输入与或门(可扩展) 74ls53 四路2-2-2-2输入与或非门(可扩展) 74ls53 四路2-2-3-2输入与或非门(可扩展) 74ls54 四路2-2-2-2输入与或非门

74ls54 四路2-3-3-2输入与或非门

74ls54 四路2-2-3-2输入与或非门

74ls55 二路4-4输入与或非门(可扩展) 74ls60 双四输入与扩展

74ls61 三3输入与扩展

74ls62 四路2-3-3-2输入与或扩展器

74ls63 六电流读出接口门

74ls64 四路4-2-3-2输入与或非门

74ls65 四路4-2-3-2输入与或非门(oc)

74ls70 与门输入上升沿jk触发器

74ls71 与输入r-s主从触发器

74ls72 与门输入主从jk触发器

74ls73 双j-k触发器(带清除端)

74ls74 正沿触发双d型触发器(带预置端和清除端) 74ls75 4位双稳锁存器

74ls76 双j-k触发器(带预置端和清除端)

74ls77 4位双稳态锁存器

74ls78 双j-k触发器(带预置端,公共清除端和公共时钟端) 74ls80 门控全加器

74ls81 16位随机存取存储器

74ls82 2位二进制全加器(快速进位)

74ls83 4位二进制全加器(快速进位)

74ls84 16位随机存取存储器

74ls85 4位数字比较器

74ls86 2输入四异或门

74ls87 四位二进制原码/反码/oi单元

74ls89 64位读/写存储器

74ls90 十进制计数器

74ls91 八位移位寄存器

74ls92 12分频计数器(2分频和6分频)

74ls93 4位二进制计数器

74ls94 4位移位寄存器(异步)

74ls95 4位移位寄存器(并行io)

74ls96 5位移位寄存器

74ls97 六位同步二进制比率乘法器

74ls100 八位双稳锁存器

74ls103 负沿触发双j-k主从触发器(带清除端)

74ls106 负沿触发双j-k主从触发器(带预置,清除,时钟) 74ls107 双j-k主从触发器(带清除端)

74ls108 双j-k主从触发器(带预置,清除,时钟)

74ls109 双j-k触发器(带置位,清除,正触发)

74ls110 与门输入j-k主从触发器(带锁定)

74ls111 双j-k主从触发器(带数据锁定)

74ls112 负沿触发双j-k触发器(带预置端和清除端) 74ls113 负沿触发双j-k触发器(带预置端)

74ls114 双j-k触发器(带预置端,共清除端和时钟端) 74ls116 双四位锁存器

74ls120 双脉冲同步器/驱动器

74ls121 单稳态触发器(施密特触发)

74ls122 可再触发单稳态多谐振荡器(带清除端) 74ls123 可再触发双单稳多谐振荡器

74ls125 四总线缓冲门(三态输出)

74ls126 四总线缓冲门(三态输出)

74ls128 2输入四或非线驱动器

74ls131 3-8译码器

74ls132 2输入四与非门(斯密特触发) 74ls133 13输入端与非门

74ls134 12输入端与门(三态输出)

74ls135 四异或/异或非门

74ls136 2输入四异或门(oc)

74ls137 八选1锁存译码器/多路转换器 74ls138 3-8线译码器/多路转换器

74ls139 双2-4线译码器/多路转换器

74ls140 双4输入与非线驱动器

74ls141 bcd-十进制译码器/驱动器

74ls142 计数器/锁存器/译码器/驱动器 74ls145 4-10译码器/驱动器

74ls147 10线-4线优先编码器

74ls148 8线-3线八进制优先编码器

74ls150 16选1数据选择器(反补输出) 74ls151 8选1数据选择器(互补输出) 74ls152 8选1数据选择器多路开关

74ls153 双4选1数据选择器/多路选择器 74ls154 4线-16线译码器

74ls155 双2-4译码器/分配器(图腾柱输出)

74ls156 双2-4译码器/分配器(集电极开路输出) 74ls157 四2选1数据选择器/多路选择器 74ls158 四2选1数据选择器(反相输出) 74ls160 可预置bcd计数器(异步清除)

74ls161 可预置四位二进制计数器(并清除异步) 74ls162 可预置bcd计数器(异步清除)

74ls163 可预置四位二进制计数器(并清除异步) 74ls164 8位并行输出串行移位寄存器

74ls165 并行输入8位移位寄存器(补码输出) 74ls166 8位移位寄存器

74ls167 同步十进制比率乘法器

74ls168 4位加/减同步计数器(十进制) 74ls169 同步二进制可逆计数器

74ls170 4*4寄存器堆

74ls171 四d触发器(带清除端)

74ls172 16位寄存器堆

74ls173 4位d型寄存器(带清除端)

74ls174 六d触发器

74ls175 四d触发器

74ls176 十进制可预置计数器

74ls177 2-8-16进制可预置计数器

74ls178 四位通用移位寄存器

74ls179 四位通用移位寄存器

74ls180 九位奇偶产生/校验器

74ls181 算术逻辑单元/功能发生器

74ls182 先行进位发生器

74ls183 双保留进位全加器

74ls184 bcd-二进制转换器

74ls185 二进制-bcd转换器

74ls190 同步可逆计数器(bcd,二进制)

74ls191 同步可逆计数器(bcd,二进制)

74ls192 同步可逆计数器(bcd,二进制)

74ls193 同步可逆计数器(bcd,二进制)

74ls194 四位双向通用移位寄存器

74ls195 四位通用移位寄存器

74ls196 可预置计数器/锁存器

74ls197 可预置计数器/锁存器(二进制)

74ls198 八位双向移位寄存器

74ls199 八位移位寄存器

74ls210 2-5-10进制计数器

74ls213 2-n-10可变进制计数器

74ls221 双单稳触发器

74ls230 八3态总线驱动器

74ls231 八3态总线反向驱动器

74ls240 八缓冲器/线驱动器/线接收器(反码三态输出) 74ls241 八缓冲器/线驱动器/线接收器(原码三态输出) 74ls242 八缓冲器/线驱动器/线接收器

74ls243 4同相三态总线收发器

74ls244 八缓冲器/线驱动器/线接收器

74ls245 八双向总线收发器

74ls246 4线-七段译码/驱动器(30v)

74ls247 4线-七段译码/驱动器(15v)

74ls248 4线-七段译码/驱动器

74ls249 4线-七段译码/驱动器

74ls251 8选1数据选择器(三态输出)

74ls253 双四选1数据选择器(三态输出)

74ls256 双四位可寻址锁存器

74ls257 四2选1数据选择器(三态输出)

74ls258 四2选1数据选择器(反码三态输出) 74ls259 8为可寻址锁存器

74ls260 双5输入或非门

74ls261 4*2并行二进制乘法器

74ls265 四互补输出元件

74ls266 2输入四异或非门(oc)

74ls270 2048位rom (512位四字节,oc)

74ls271 2048位rom (256位八字节,oc)

74ls273 八d触发器

74ls274 4*4并行二进制乘法器

74ls275 七位片式华莱士树乘法器

74ls276 四jk触发器

74ls278 四位可级联优先寄存器

74ls279 四s-r锁存器

74ls280 9位奇数/偶数奇偶发生器/较验器

74ls281

74ls283 4位二进制全加器

74ls290 十进制计数器

74ls291 32位可编程模

74ls293 4位二进制计数器

74ls294 16位可编程模

74ls295 四位双向通用移位寄存器

74ls298 四-2输入多路转换器(带选通)

74ls299 八位通用移位寄存器(三态输出)

74ls348 8-3线优先编码器(三态输出)

74ls352 双四选1数据选择器/多路转换器

74ls353 双4-1线数据选择器(三态输出)

74ls354 8输入端多路转换器/数据选择器/寄存器,三态补码输出 74ls355 8输入端多路转换器/数据选择器/寄存器,三态补码输出 74ls356 8输入端多路转换器/数据选择器/寄存器,三态补码输出 74ls357 8输入端多路转换器/数据选择器/寄存器,三态补码输出 74ls365 6总线驱动器

74ls366 六反向三态缓冲器/线驱动器

74ls367 六同向三态缓冲器/线驱动器

74ls368 六反向三态缓冲器/线驱动器

74ls373 八d锁存器

74ls374 八d触发器(三态同相)

74ls375 4位双稳态锁存器

74ls377 带使能的八d触发器

74ls378 六d触发器

74ls379 四d触发器

74ls381 算术逻辑单元/函数发生器

74ls382 算术逻辑单元/函数发生器

74ls384 8位*1位补码乘法器

74ls385 四串行加法器/乘法器

74ls386 2输入四异或门

74ls390 双十进制计数器

74ls391 双四位二进制计数器

74ls395 4位通用移位寄存器

74ls396 八位存储寄存器

74ls398 四2输入端多路开关(双路输出) 74ls399 四-2输入多路转换器(带选通) 74ls422 单稳态触发器

74ls423 双单稳态触发器

74ls440 四3方向总线收发器,集电极开路 74ls441 四3方向总线收发器,集电极开路 74ls442 四3方向总线收发器,三态输出 74ls443 四3方向总线收发器,三态输出 74ls444 四3方向总线收发器,三态输出

74ls445 bcd-十进制译码器/驱动器,三态输出 74ls446 有方向控制的双总线收发器

74ls448 四3方向总线收发器,三态输出 74ls449 有方向控制的双总线收发器

74ls465 八三态线缓冲器

74ls466 八三态线反向缓冲器

74ls467 八三态线缓冲器

74ls468 八三态线反向缓冲器

74ls490 双十进制计数器

74ls540 八位三态总线缓冲器(反向)

74ls541 八位三态总线缓冲器

74ls589 有输入锁存的并入串出移位寄存器 74ls590 带输出寄存器的8位二进制计数器 74ls591 带输出寄存器的8位二进制计数器 74ls592 带输出寄存器的8位二进制计数器 74ls593 带输出寄存器的8位二进制计数器

74ls594 带输出锁存的8位串入并出移位寄存器 74ls595 8位输出锁存移位寄存器

74ls596 带输出锁存的8位串入并出移位寄存器 74ls597 8位输出锁存移位寄存器

74ls598 带输入锁存的并入串出移位寄存器

74ls599 带输出锁存的8位串入并出移位寄存器 74ls604 双8位锁存器

74ls605 双8位锁存器

74ls606 双8位锁存器

74ls607 双8位锁存器

74ls620 8位三态总线发送接收器(反相) 74ls621 8位总线收发器

74ls622 8位总线收发器

74ls623 8位总线收发器

74ls640 反相总线收发器(三态输出)

74ls641 同相8总线收发器,集电极开路 74ls642 同相8总线收发器,集电极开路

74ls643 8位三态总线发送接收器

74ls644 真值反相8总线收发器,集电极开路

74ls645 三态同相8总线收发器

74ls646 八位总线收发器,寄存器

74ls647 八位总线收发器,寄存器

74ls648 八位总线收发器,寄存器

74ls649 八位总线收发器,寄存器

74ls651 三态反相8总线收发器

74ls652 三态反相8总线收发器

74ls653 反相8总线收发器,集电极开路

74ls654 同相8总线收发器,集电极开路

74ls668 4位同步加/减十进制计数器

74ls669 带先行进位的4位同步二进制可逆计数器

74ls670 4*4寄存器堆(三态)

74ls671 带输出寄存的四位并入并出移位寄存器

74ls672 带输出寄存的四位并入并出移位寄存器

74ls673 16位并行输出存储器,16位串入串出移位寄存器

74ls674 16位并行输入串行输出移位寄存器

74ls681 4位并行二进制累加器

74ls682 8位数值比较器(图腾柱输出)

74ls683 8位数值比较器(集电极开路)

74ls684 8位数值比较器(图腾柱输出)

74ls685 8位数值比较器(集电极开路)

74ls686 8位数值比较器(图腾柱输出)

74ls687 8位数值比较器(集电极开路)

74ls688 8位数字比较器(oc输出)

74ls689 8位数字比较器

74ls690 同步十进制计数器/寄存器(带数选,三态输出,直接清除) 74ls691 计数器/寄存器(带多转换,三态输出)

74ls692 同步十进制计数器(带预置输入,同步清除)

74ls693 计数器/寄存器(带多转换,三态输出)

74ls696 同步加/减十进制计数器/寄存器(带数选,三态输出,直接清除) 74ls697 计数器/寄存器(带多转换,三态输出)

74ls698 计数器/寄存器(带多转换,三态输出)

74ls699 计数器/寄存器(带多转换,三态输出)

74ls716 可编程模n十进制计数器

74ls718 可编程模n十进制计数器

更多相关推荐:
数字电路总结

电子技术基础—数字部分合肥工业大学电气学院数字电路自从开课不知不觉已经一学期了,在这学期里我学会了很多,不仅仅是数字电路的基础知识,得到的更多的是那种学习的方法—坚持不懈,数字电路这门课程需要我们花费较多时间去…

数字电路总结

第一章数制和编码1.能写出任意进制数的按权展开式;2.掌握二进制数与十进制数之间的相互转换;3.掌握二进制数与八进制、十六进制数之间的相互转换;4.掌握二进制数的原码、反码及补码的表示方法;5.熟悉自然二进制码…

数字电路总结

各章教学重点与基本要求第1章绪论1.二进制、八进制、十进制和十六进制数的运算及相互转换的方法。重点掌握二进制、十进制、十六进制等不同数制间的相互转换。原码、反码及补码(补充)2.熟悉8421BCD码,其他BCD…

数字电路总结1

数字电路总结(一)常用电子器件(1)电阻作用:分压;分流;限流;负载等作用单位:欧姆(?);常用单位:千欧(K?);兆欧(M?)按照材料分类:金属膜电阻;碳膜电阻;水泥电阻;精密合金电阻;玻璃釉电阻等等阻值:色…

数字电路总结

第一章数制和编码1.能写出任意进制数的按权展开式;2.掌握二进制数与十进制数之间的相互转换;3.掌握二进制数与八进制、十六进制数之间的相互转换;4.掌握二进制数的原码、反码及补码的表示方法;5.熟悉自然二进制码…

数字电路总结

数字电路总结第一章数制和编码1能写出任意进制数的按权展开式2掌握二进制数与十进制数之间的相互转换3掌握二进制数与八进制十六进制数之间的相互转换4掌握二进制数的原码反码及补码的表示方法5熟悉自然二进制码8421B...

数字电路总结

数字电路总结20xx一数字电路的概念二数字信号的概念1定义在时间上幅度上均离散的信号就是数字信号2种类二值信号和多值信号3表示高电平和低电平4电平与电压的区别501与高低电平的关系正逻辑负逻辑6高低电平的电压范...

数字电路课程设计总结报告

电子工程系课程设计课程名称:数字电子技术课程设计摘要数字钟是由振荡器、分频器、计数器、译码器、数码显示器等几部分组成。振荡电路:主要用来产生时间标准信号,因为时钟的精度主要取决于时间标准信号的频率及稳定度,所以…

数字电路实验指导

一面包板

电子线路CAD总结报告

1电子线路CAD总结报告姓名厉颖方学号120xx955班级电信12303学院电子信息工程学院2DXP20xxSE总结一DXP20xx学习目的及作用1熟悉DXP20xx软件掌握原理图编辑器和PCB编辑器的设置及使...

数字电路课程设计比赛计时器总结报告 - 副本

数字逻辑电路设计课程设计总结报告题目指导教师姓名学号班级日期目录一设计任务书3一设计课题3二功能要求3二设计框图及整机概述3一原理框图错误未定义书签二整机概述4三各单元电路的设计方案及原理说明5一振荡电路5二分...

数字电路基础知识

电工电子技术及应用教案91课题编号0901课题名称数字电路基础知识教学目标应知1了解数字电路的特点了解晶体管的开关特性2掌握数字电路常用数制及其相互转换3了解8421BCD码的表示形式应会会进行二进制十进制十六...

数字电路总结(38篇)