篇一 :单片机实验报告——流水灯

电子信息工程学系实验报告

课程名称:  单片机原理及接口

实验项目名称: 实验2  流水灯实验          时间: 20##-10-21      

班级:  电信092           姓名:  蔡松亮        学号:  910706247

                                                                                                                                             

…… …… 余下全文

篇二 :流水灯实验报告

     

课程名称     单片机实习      

专业班级      电子1142       

           戴秀锋        

          1104451216      

电气与信息学院

和谐  勤奋  求是  创新

实验教学考核和成绩评定办法

1.              课内实验考核成绩,严格按照该课程教学大纲中明确规定的比重执行。实验成绩不合格者,不能参加课程考试,待补做合格后方能参加考试。

2.              单独设立的实验课考核按百分制评分,考核内容应包括基本理论、实验原理和实验。

3.              实验考核内容包括:1)实验预习;2)实验过程(包括实验操作、实验记录和实验态度、表现);3)实验报告;权重分别为0.2 、0.4 、 0.4;原则上根据上述三个方面进行综合评定。学生未取得1)和2)项成绩时,第3)项成绩无效。

…… …… 余下全文

篇三 :单片机流水灯实验报告

单片机流水灯实验报告

一、实验目的

1、 熟练掌握AT89S52-Ⅰ型开发板的使用方法和注意事项。

2、 了解简单单片机应用系统的设计方法。

3、 掌握应用KEIL软件编辑、编译源汇编程序的操作方法。

4、 掌握应用USBISP烧录软件的操作方法。

5、 帮助学生养成良好实验习惯。

二、实验内容

在AT89S52-Ⅰ开发板上实现8个发光LED“流水”的现象,并通过编写程序控制流水现象。

三、实验说明

当8255 的PA口有低电平输出时,相应的发光二极管就会点亮。应用这一原理我们可以容易的点亮一个数码管,例如令PA口输出0111 1111时D1就会点亮。若再把0111 1111向右循环一位,利用PA口输出,就会点亮D2。在发光二极管两次点亮的间隔中加延时程序,让每次点亮停留一段时间,像这样人眼就可以看到“流水” 的现象。

五、实验原理图

六、实验参考程序

#include<reg52.h>

#define uchar unsigned char

#define uint unsigned int

uchar table[]={0x01,0x02,0x04,0x08,0x10,0x20,0x40,0x80};

sbit P00=P0^0;

sbit P01=P0^1;

void delay(uchar t)

{

      uchar i,j;

       for(i=0;i<t;i++)

       for(j=0;j<110;j++);

}

void main()

{

       uchar i;

…… …… 余下全文

篇四 :51单片机流水灯实验报告

                  51单片机流水灯试验

一、实验目的

1.了解51单片机的引脚结构。

2.根据所学汇编语言编写代码实现LED灯的流水功能。

3.利用开发板下载hex文件后验证功能。

二、实验器材

个人电脑,80c51单片机,开发板

三、实验原理

单片机流水的实质是单片机各引脚在规定的时间逐个上电,使LED灯能逐个亮起来但过了该引脚通电的时间后便灭灯的过程,实验中使用了单片机的P2端口,对8个LED灯进行控制,要实现逐个亮灯即将P2的各端口逐一置零,中间使用时间间隔隔开各灯的亮灭。使用rl或rr  a实现位的转换。

A寄存器的位经过rr a之后转换如下所示:

然后将A寄存器转换一次便送给P2即MOV P2,A便将转换后的数送到了P2口,不断循环下去,便实现了逐位置一操作。

四、  实验电路图

五、  通过仿真实验正确性

代码如下:ORG 0

MOV A,#00000001B

LOOP:MOV P2,A

RL A

ACALL DELAY

SJMP LOOP

DELAY:MOV R1,#255

DEL2:MOV R2,#250

DEL1:DJNZ R2,DEL1

      DJNZ R1,DEL2

RET

End

实验结果:

六、  实验总结

这次试验我通过Proteus仿真实现对流水灯功能的实现。受益匪浅,对80c51的功能和结构有了深层次的了解,我深刻的明白,要想完全了解c51还有一定距离,但我会一如既往的同困难作斗争。在实验中,我遇到了不少困难,比如不知道怎么将程序写进单片机中,写好程序的却总出错,不知道什么原因,原来没有生成hex文件。这些错误令我明白以后在试验中要步步细心,避免出错。

…… …… 余下全文

篇五 :流水灯实验报告

广

课程设计报告

设计题目:  流水灯设计   

姓    名:     z t y   

学   号: 100036137   

班   级:   微电81001     

时   间:2013年 7月 4日      

目  录

一.  设计任务与要求…………………………………………3

二.  实验目的…………………………………………….…..3

三.  实验思路…………………………………………….…..3

1系统逻辑设计……………………………………...…3

2源程序代码………………………………………..…3

⑴LED流水灯控制模块………………………………….4

⑵分频器…………………………………………………5

⑶四位选择器…………………………………………….5

⑷六位计数器…………………………………………..6

⑸2位计数器…………………………………………...6

3实验原理调用………………………………… …….7

4仿真结果与分析…………………………….……….7

四.  心得体会……………………………………………..….8

一.设计任务与要求

随着大规模集成电路技术和EDA技术的迅速发展,使得数字系统的硬件设计如同软件设计那样方便快捷,而Verilog HDL 是当前应用最广泛的并成为IEEE标准的一种硬件描述语言。Verilog HDL是在C语言的基础上演化而来,具有结构清晰、文法简明、功能强大、高速模拟和多库支持等优点。此次课程设计通过使用Verilog HDL硬件描述语言设计了一个程序,使得流水灯可以根据自己所期望的方式点亮,并能在、MAX+plusⅡ进行仿真测试,得出出仿真结果。通过课程设计深入理解VHDL语言的精髓,加深对数字电路和VHDL基本单元的理解,理论联系实际,提高设计能力,提高分析、解决计算机技术实际问题的独立工作能力。

…… …… 余下全文

篇六 :单片机并口简单应用(流水灯)实验报告

实验一 单片机并口简单应用(流水灯)

一、实验目的

1、了解单片机汇编语言程序的基本结构

2、了解单片机汇编语言程序的设计和调试方法

3、掌握顺序控制程序的简单编程

二、实验仪器

单片机开发板、万利仿真机、稳压电源、计算机

三、实验原理

1、流水灯硬件电路

如图4-1所示,流水灯硬件电路由移位寄存器74LS164、功能选择开关J502、二极管、三极管、单片机并口(P0)、限流电阻等组成。发光二极管连接成共阳极结构。发光二极管点亮的条件是:阳极接高电平、各阴极接低电平。因此,通过程序控制74LS164的Q0端。Q0端输出0,公共端阳极就接成高电平,然后再按一定规则从P0口输出数据,发光二极管就会点亮。

 

图4-1 流水灯电路图

2、单片机流水灯程序设计

由上图可知,发光二极管要点亮,需要先把J502的2、3脚相连,三极管Q500导通,然后从P0口输出数据。

(1)控制三极管导通程序

控制三极管有两种方法,第一种:在74LS164的第8脚产生一个正脉冲,此时1脚为0,三极管就导通;为1,三极管就截止。

第二种:在单片机IO模拟74LS164时序,一次输出一个字节,只要Q0=0即可控制三极管开通。为1,三极管截止。

两种方法的程序流程如图4-2所示。

 

图4-2 流水灯位选信号控制

(2)产生流水灯效果程序

三极管导通后,就可以从P0口输出数据控制发光二极管。P0口输出数据既可以编写程序逐个输出,也可以将输出数据序列定义在存储器中,然后用读程序存储器指令逐个取出并输出到P0口。

程序流程图如图4-3所示。

 

图4-3 流水灯程序流程图

四、实验内容

1、直接输出法输出数据序列

五、实验步骤及调试信息

1、新建实验项目hua

2、输入实验程序并补充完整

程序如下:

…… …… 余下全文

篇七 :LED流水灯实验报告-0802薛红锋(06)

LED流水灯实验报告

实验一

系 别: 电子工程学院

专 业: 微电子学

班 级: 微电子0802

姓 名:  

实验时间: 20XX年05月18日

实验一 基于51单片机的LED流水灯设计

一、实验目的:

1、了解基于51单片机的汇编语言程序设计

2、掌握汇编工具的使用方法

3、用实验台调试编写好的汇编代码

二、实验要求:

用计算机编写汇编代码并下载到单片机中调试,实现8只LED的流水灯功能

三、实验设备:

PC机一台;80C31单片机一片;实验台。

四、操作步骤:(包括连线、跳线、操作过程等)

1、连接电路,将8位LED与单片机的P1.0 – P1.7顺次连接

2、按实验台面板上的Reset键,将单片机与计算机程序配对连接

3、用计算机编写代码,并汇编、调试

4、将程序下载到单片机中,观察现象

5、如果不符合预期要求则修改程序重新调试

五、源代码:(要求对所有程序进行分析注解)

NAME LED ;定义程序名称:LED

CSEG AT 0000H ;程序段起始地址

LJMP START

CSEG AT 4100H

START:MOV P1,#0FEH ;给P1口赋初值

LOOP: RR A ;A循环右移

MOV P1,A ;将A的值传给P1

LCALL DELAY ;调用延迟

JMP LOOP ;循环本节

DELAY: MOV R1,#200 ;用双层循环嵌套实现延时功能

DEL1: MOV R2,#127

DEL2: DJNZ R2,DEL2

DJNZ R1,DEL1

RET

END

六、实验心得

通过本次实验基本了解了LED流水灯的工作流程,通过编写汇编程序实现了LED流水灯的设计,复习并更加掌握汇编语言,尤其是汇编语言中循环的用法,并且学会了用双层循环嵌套实现了延时功能.开始时,我不知道怎么写,然后看了会书,想到了使用循环来实现,但具体怎么写还是不太了解,就问了下同学,在同学的帮助下,还是慢慢地调试出了程序,通过这次实验,我深刻地懂得了以后在实验和工作中要能做出真正的东西,必须熟练的掌握基本知识,所以以后上课一定要好好听课,只有真正理解了基础知识,才能利用所学知识做出真正的东西.

…… …… 余下全文

篇八 :流水灯实验报告

实验报告

      实验课程:       51单片机C语言教程          

      实验内容:       8孔流水灯                   

  

院 (系):        计算机学院        

专    业:        通信工程           

20##年10月29日


           

            一、实验目的:

1、 熟练掌握AT89S52-Ⅰ型开发板的使用方法和注意事项。

2、 了解简单单片机应用系统的设计方法。

…… …… 余下全文