课设报告-篮球计分器

时间:2024.4.27

课程设计报告

   20##-2014  学年第   一   学期

1.设计目的... - 2 -

2.设计任务... - 2 -

2.1 设计要求... - 2 -

2.2基本功能... - 2 -

2.3附加功能... - 2 -

3.设计题目的原理框图... - 3 -

4.各电路及主要器件引脚说明... - 4 -

4.1系统电路总图及概述... - 4 -

4.2系统各部分电路模块设计... - 5 -

4.2.1计分与显示电路... - 5 -

4.2.2多分器与加减控制电路... - 7 -

5.系统调试结果... - 9 -

附录1:器件清单... - 9 -

附录2: 心得体会... - 10 -

附录3:仿真电路图... - 11 -

                         

1.设计目的

   为了方便篮球比赛计分,设计了计分器。它是由按键录入、数码显示的方式显示参赛队伍的得分信息。为了方便得分的录入和显示,采用数字电子电路实现。电子仿真的目的如下:

(1)学习掌握Multisim仿真软件;;

(2)掌握加减计数器、译码驱动器、方波发生器及其门电路的工作原理与使用方法;

根据要求设计制作一个篮球比赛计分显示器。

2.设计任务

2.1 设计要求

(1)电路具有加1分、加2分、加3分功能。

(2)电路具有减分功能。

(3)显示总分功能,用三位LED显示器,最高可显示999。

(4)显示器可清零。

2.2基本功能

(1)设计一个篮球计分器,用三位LED显示器,最高可显示999。

(2)给裁判员设置五个控制开关,用来控制计分系统的清零,加减变换,加/减一分,加/减两分,加/减三分。

2.3附加功能

(1)状态提示:指示灯提示当前的计分状态是加分还是减分。

 (2)清零提示:按下C键清零时蜂鸣器发出响声。

3.设计题目的原理框图

图一

原理:如图一所示。

(1)接通电源后,比赛开始前,裁判按下C键将计数器清零,完毕,再次按下将键弹起。

(2)比赛开始后,该球队得分,裁判按下S键将计分加减状态切换至加分,并按下与得分分数对应的1,2,3键,加分完毕,再次按下键,将键弹起。

(3)如果加错了分,可按S键切换为减分状态,按键减去相应的分。

(4)指示灯会提示当前的加减分状态。

4.各电路及主要器件引脚说明

4.1系统电路总图及概述

74ls192十进制计数器 – 74ls48驱动共阴译码器  - 共阴极数码管及其外围工作电路构成一位基本计数单元,共三位,从低位到高位通过CO-UP,BO-DOWN的方式级联,构成最高可计999的篮球计分器。

74ls161四位二进制计数器和与非门,按不同的连接方式构成“二分器”,“三分器”,串入二极管使电流信号单向通过,从而保证逻辑不发生错误。

    

4.2系统各部分电路模块设计

4.2.1计分与显示电路

主要功能:(1)构成十进制级联计分电路,显示比赛成绩。

(2)比赛成绩清零功能。

图4.2.1 计分与显示电路

计分电路工作原理:

(1)清零C键弹起时,CLR = 0,~LOAD = 1,A=B=C=D = 0,三个74ls192芯片处于计数的工作状态。当裁判加分时,DOWN端给持续的高电平,UP端接收到上升沿脉冲信号,此时计数器+1。当裁判减分时,UP端给持续的高电平,DOWN端接收到上升沿脉冲信号,此时计数器-1。

    (2)个位的进位端CO与十位的UP端相连,个位的借位端BO与十位的DOWN端相连,十位与百位的连接方式同理,由此构成级联。

    (3)三个计数器的CLR端引出与C键开关相连,开关另一端与高电平端相连,由此构成清零开关,按下后CLR电平拉高,有效,执行清零功能。

74ls192功能表如下:

显示电路工作原理:

(1)74ls48是驱动共阴极数码管的译码器,A B C D端分别与74ls192的QA QB QC QD端相连,三个控制端连高电平,使其工作在正常显示的工作状态。

(2)QA~QG端分别连限流电阻与数码管相连,为了方便可使用2x7排阻,数码管的共极接地。

(3)显示译码器将四位进制电平翻译成七位段码电平。

74ls192功能表如下:

 

4.2.2多分器与加减控制电路

主要功能:(1)实现按键一次,74ls192计数器加/减两分,加/减三分。

(2)切换加/减分状态。

           图4.2.2 多分器原理

两分器原理:

(1)两分器由74ls161四位二进制计数器及其外围电路构成,QA QB接入与非门输入端,ENP和ENT并入与非门输出端,A=B=C=D =0,CLK接较高频率的方波电压信号发生器,~LOAD和~CLR与高电平经2键相连。2键是两分器的输入端,QA是两分器的输出端。

(2)74ls161的起始状态为QA=QB=QC=QD=0,2键起始状态为断开。如此,QA,QB与非后输出1,使ENP=ENT=1。当2键按下时,~LOAD = ~CLR = 1,禁能,74ls161开始加法记数。计至QA,QB,QC,QD=1,1,0,0时,QA端电平上升了两次,产生了两个上升沿脉冲信号,使74ls192计数+2,。QA,QB与非后输出0,使ENP=ENT=0,记数终止,此时裁判弹起2键,记数清零,完成一次加二分。

三分器原理:

   (1)QA QC接入与非门输入端,其余与两分器相同。

                   两分器原理如表:

加减切换电路原理:

   (1)加法时,DOWN=1,UP↑,计数器+1;减法时,UP=1,DOWN↑,计数器-1。

   (2)设置一对接线相反的单刀双掷开关,同步触发切换,使DOWN端和UP端总有一端连高电平,而另一端连上升沿脉冲信号端。切换开关状态来切换计数的状态。

   (3)用一对指示灯来显示当前的计数状态。

(4) 1,2,3(三种状态) * +,-(两种状态)= +1 -1 +2 -2 +3 -3(六种状态)

5.系统调试结果

经过几天的设计、调试,仿真电路终于可以平稳运行了。最终的仿真过程记录如下:

(1)打开工程文件,检查各个按键是否在设定的初试位置。

(2)按下F5键开始仿真,由于清零键设定初试闭合,系统发出滴滴提示音。

(3)按下C键,使其弹开,进入计数工作状态,提示音停止,此时为000。

(4)按下1键,个位+1,弹开,再按下1键,个位+1,弹开,此时为002。

(5)按下2键,个位+2,弹开,再按下2键,个位+2,弹开,此时为006。

(6)按下3键,个位+3,弹开,再按下3键,进位了,弹开,此时为012。

(7)按下3键,个位+3,弹开,重复许多遍,进位了,弹开,此时为102。

(8)按下S键,切换加减计数状态,+ 灯亮变为—灯亮,此时做减法记数。

(9)按下2键,个位- 2,弹开,再按下3键,借位了,弹开,此时为097。

(10)按下1键,个位-1,弹开,再按下1键,个位-1,弹开,此时为095。

(11)按下C键,系统发出滴滴提示音,弹开,提示音停止,此时为000。

(12)按下停止键停止仿真。

结论:本作品功能实现正常,能完成设计要求。

附录1:器件清单

1) 74ls192       十进制同步可逆计数器                          3片           

2) 74ls48         驱动共阴极数码管译码器                       3片

3) Seven_Seg_k    七段共阴极数码管                            3个

4) RPack2x7       2x7排阻,220Ω                           3个

5) 74ls161       四位二进制加法计数器                         2片

6) 74ls00        四 2输入与非门                            2个

7) 1N1200C        二极管                                 3个

8) BUZZER-5V     扬声器                                      1个

9) DIG_RED        红色指示灯                              2个

10)     V-Clock       555核心方波信号源                           2个

11)     Line          导线                                          若干

12)     Switch        开关                                         若干

附录2: 心得体会

此次课程设计,我们三人组成一个小组,应用multisim软件进行数字电子电路的仿真设计。我们小组选择了篮球比赛计分显示器的设计。在设计的过程中,由于我对该软件比较熟悉,对电路的原理理解的比较清晰,主要芯片的资料和用法在网络上也是十分容易找到,所以在设计的过程中没有遇到太多大问题。

在指导老师的的引导下,我们不断的努力,不断的尝试,改进,终于完成了一份令自己及老师都较为满意的答卷。有几个小问题,很有意思,例如初试设计稿中,加二器输出端,加三器输出端,上升沿脉冲信号输入端直接连在了一起,在仿真测试中就没有实现想象中功能。我略一思考,发现是加二器输出端理论=0,加三器输出端理论=1,连在一起后发生数字逻辑错误,两个端点之间拉电平,导致没有输出正确的电平信号到信号输入端。于是我在两个输出端各串入一个二极管,就解决了问题。通过这个小问题,我认识到了很多事情不是自己想象的那么简单,必须要严谨地思考每一个小节,才能把事情做好。

经过这次的课程设计,我理解了只有学以致用,才能深刻掌握书本知识。而且还增强了动手能力、分析解决问题的能力、写科技论文的能力、团队协作的能力。我一定会再接再厉,在不久的将来,取得更好的成绩。

                                                  

           

附录3:仿真电路图


第二篇:课设-篮球计分器


目录

目录........................................................................................................................ 1

第1章 概述.......................................................................................................... 2

1.1设计目的.................................................................................................. 2

1.2设计任务与要求...................................................................................... 2

1.2.1基本要求....................................................................................... 2

1.2.2扩展要求....................................................................................... 2

第2章 设计电路的原理及框图.......................................................................... 3

2.1计分电路.................................................................................................. 3

2.1.1计分电路设计原理....................................................................... 3

2.1.2计分电路的原理框图................................................................... 4

2.2扩展电路.................................................................................................. 4

2.2.1扩展电路设计原理....................................................................... 4

第3章 元件清单及器件说明.............................................................................. 5

3.1元件清单.................................................................................................. 5

3.2器件引脚图及真值表.............................................................................. 6

第4章 电路设计过程........................................................................................ 10

4.1计分电路的设计及电路图.................................................................... 10

第5章 仿真调试过程........................................................................................ 12

第6章 心得与体会............................................................................................ 14

第1章 概述

1.1设计目的

使学生对电子的一些相关知识有感性认识,加深电类有关课程的理论知识,掌握电子元件的焊接、电气元件的安装、连线等基本技能,培养学生阅读电气原理图和电子线路图的能力。并在生产实践中,激发学生动手、动脑、勇于创新的积极性,培养学生严谨、认真、踏实、勤奋的学习精神和工作作风,为后续专业课程的学习打下坚实的基础。

1.2设计任务与要求

1.2.1基本要求

(1)电路具有加1分、加2分、加3分功能。

(2)电路具有减分功能。

(3)显示总分功能,用三位LED显示器,最高可现实999.

(4)显示器可清零。

1.2.2扩展要求

(1)电路可以分4节计时,每节12分钟倒计时。

(2)每节计时电路,12分钟到后自动停止。

(3)电路具有24秒计时功能及20秒暂停功能。

(4)24秒电路与每节计时电路同步运行。

(5)24秒电路可以人工重新置数开始计时。

第2章 设计电路的原理及框图

2.1计分电路

2.1.1计分电路设计原理

对应篮球比赛规则计分的系统要求,篮球计分有1分、2分和3分的情况,通过对电路输入一个脉冲、两个脉冲、三个脉冲,使得计数器对分数进行统计,这需要三个脉冲分路。利用74ls160的计数原理,当相应分数开关按下时74ls160正常工作,分别将一个(0000—0001)、两个(0000—0001、0010—0011)、三个(0000—0001、0010—0011、0100—0101)上升脉冲给74ls192,完成脉冲输入后,74ls160停止工作(使能端输入低电平),从而完成计分操作。由于须有三个独立的脉冲输入,所以对于计分电路使用三片74ls160。

对于加分或减分操作,由于74ls192是可逆的十进制计数器,所以通过将脉冲接至74ls192的UP或DOWN端,而另一个置高,从而实现加分与减分功能。 由于74ls192是十进制计数器,最高可显示9,而要求最高计数为999,所以使用三片74ls192,分别由低位192进位或借位向高位的UP或DOWN端输入进位或借位脉冲,从而实现个位、十位、百位之间的借位转换,使得最高计数为999。

2.1.2计分电路的原理框图

图2.1 计分原理框图

2.2扩展电路

2.2.1扩展电路设计原理

对于扩展部分,有比赛总时间电路,24s持球时间电路以及20s短暂停电路,此三部分与计分电路(74ls160)共用同一时钟脉冲,由于计分电路所用时钟脉冲较高,故采用74ls160的QD端对时钟脉冲实现10分频作为计时部分时钟脉冲。比赛开始时,闭合总清零开关,对比赛总时间电路和24秒电路设定初始计时时间,然后断开,计时开始。

通过将总时间电路和24秒电路的DOWN端接在一起,可使二者时间同步。将单刀双掷开关的同向另一端接到暂停电路的DOWN端,通过切换开关便可进行计时电路与暂停电路的切换进行。

将总时间电路的借位端BO端接入分频电路(74ls160)的置数端LOAD,当12分钟计时完毕后,分频电路停止工作,计时结束。

课设篮球计分器

第3章 元件清单及器件说明

3.1元件清单

表3.1 仿真实验器材表

课设篮球计分器

3.2器件引脚图及真值表

(1)74ls192

74LS192是双时钟十进制同步可逆计数器。它的特点是有两个时钟脉冲(计数脉冲)输入端CPu和CPd。在CR=0、LD=1的条件下计数,作加计数时,令CPd=1,计数脉冲从CPu输入;作减计数时,令CPu=1,计数脉冲从CPd输入。当清零信号CR=1时,不管时钟脉冲的状态如何,计数器的输出将被直接置零;当RD=0,LD=0时,不管时钟脉冲的状态如何,将立即把预置数据输入端A、B、

C、D的状态置入计数器的QA、QB、QC、QD端,称为异步预置数。

74ls192是可逆的十进制计数器,有16个引脚,通过控制各个引脚的高低电平可以实现递加,递减,保持等功能。其管脚图如下

课设篮球计分器

:

图3.1 74ls192引脚图

74ls192引脚说明:

VCC、GND: 电源引脚。

P0、P1、P2、P3:并行置数数据输入端。

BO: 借位输出端(低电平有效)。

CO: 进位输出端(低电平有效)。

CPd: 减计数时钟输入端(上升沿有效)。

CPu::加计数时钟输入端(上升沿有效)。

LD:异步并行置数使能端(低电平有效)。

CR: 异步清零端(高电平有效)。 Q0、Q1、Q2、Q3:计数输出端(Q0低位)。

表3.2 74ls192功能表

课设篮球计分器

(2)74ls160

74ls160是十进制计数器,共有17个引脚,有固定的时钟脉冲输入端,且具有两个使能端,有计数、保持功能。其引脚图如下:

课设篮球计分器

图3.2 74ls引脚图

74ls160引脚说明:

Vcc 、GND:电源引脚。

P0、P1、P2、P3:并行置数数据输入端。 CEP、CET/ENP、ENT:计数使能端。 MR/CLR:清零端(高电平有效)。 Q0、Q1、Q2、Q3:计数输出端。 CP:时钟脉冲输入端。

LOAD:置数使能端(低电平有效)。

表3.3 74160功能表

课设篮球计分器

第4章 电路设计过程

4.1计分电路的设计及电路图

课设篮球计分器

74LS160D

74LS160D74LS160D

图4.1 计分电路图

该部分有比赛开始提醒、清零电路,当J2闭合,分数清零,比赛开始。 从电路图可知,此部分电路是由三片74LS192与三片74ls160组成的时序逻辑电路,对一分键电路,在U3上,当从0000变化到0001时,QA通过非门接到ENP与ENT,CLR与LOAD则通过一个开关来控制,J3闭合计数器160(u3)开始工作,当到达0001的时候,经过QA非门出来的为零,使它保持0001的状态不变, QA输出的则是一个脉冲(由0000—0001)经三输入或门(用74ls86与74ls04代替)输入74ls192,计数器74192遇一个上升沿计数端改变1,从而完成对一分的控制。

对二分键电路,在U1上,当从0000变化到0011时,QA与QB通过与非门接到ENP与ENT,CLR与LOAD则通过一个开关来控制,J4闭合计数器160(u1)开始工作,当到达0011的时候,经过QA与QB的与非门出来的为零,使它保持0011的状态不变, QA输出的则是两个脉冲(由0000—0001、0010—0011)经三输入或门(用74ls86与74ls04代替)输入74ls192,计数器74192遇二个上升沿计数端改变2,从而完成对二分的控制。

对三分键电路,在U2上,当从0000变化到0101时,QA与QC通过与非门接到ENT与ENP,CLR与LOAD则通过一个开关来控制,J1闭合计数器160(u2)开始工作,当到达0101的时候,经过QA与QC的与非门出来的为零,使它保持0101的状态不变, QA输出的则是三个脉冲(由0000—0001、0010—0011、0100—0101) 经三输入或门(用74ls86与74ls04代替)输入74ls192,计数器74ls192遇三个上升沿计数端改变3,从而完成对三分的控制。

通过双向开关切换电路控制加法电路和减法电路,将VCC通过两个双向开关接至U8的UP或DOWN端(仿真时用一个字母控制两个双向开关),当VCC接UP时为加法部分,将VCC接至U8的DOWN端,为减法部分。从而控制比赛分数的加减。开关J2控制U5、U6、U8的CLR端与电源相接,当开关闭合时,分数置零,开始重新计数。

第5章 仿真调试过程

本次实验的软件仿真主要使用的是Multisim10,通过对每部分单元电路进行单独仿真正确后,在进行整体电路仿真。由于首次使用仿真软件进行仿真实验,不免会遇到许多难题,下面介绍实验的仿真过程及仿真过程所遇到弄的难题 在实验过程中首先进行仿真的是实验要求的部分,即比赛计分器部分电路。在进行这一部分仿真时,我们首先进行的是实验器材的选择,由于所选的课题是计分,所以选择计数器作为核心芯片,由于要求分数能够加减,切显示数据最大为999,所以可以选用可逆的十进制计数器74ls192。这个过程所遇到的难题主要是一分、二分、三分如何实现。因为计数器工作时需要时钟脉冲,更具这个特点使用三个单独电路分别提供一个、二个、三个时钟脉冲,来解决这个问题。但怎么使电路提供完相应的脉冲后停止工作呢?经过网上搜寻,发现计数器提供脉冲后的数据输出端的输出量使使能端锁死来解决这个问题,于是我们用了三片74ls160来分别产生一分、两分、三分脉冲。最终使得电路仿真成功。 对于扩展部分,主要分为:比赛总时间电路、24S倒计时电路以及20S短暂停电路。在这一部分遇到的主要问题是怎么能使电路完成60S倒计时,经过老师指导与反复调试,最终选择二片74LS192作为秒计时的核心部件,开始时对两部分进行清零,因为从0-9的过程QD变为1,所以利用这个信号进行十秒置数,而用秒的个位控制芯片的BO接至十秒的DOWN端,从而完成各位与十位的转换,结合前一部分进行循环置数完成六十秒倒计时。另一个问题是在主计时电路与20S电路之间进行转换时,当切换至后一部分电路时,怎样保持前一部分不被清零,而当切换至前一部分电路时后一部分电路被清零。这个部分主要采用单刀双置开关一端与分频器的脉冲输出端相接,另两端的一端与主时间电路的DOWN端相接,同时接20s倒计时电路的清零端,,而另一端接20s倒计时电路的DOWN端来实现转换的。下面是仿真电路图:

课设篮球计分器

图4.4 总电路图

第6章 心得与体会

在本次课程设计中,我对数字电子电路有了进一步的了解。以前

第7章 参考文献

更多相关推荐:
篮球比赛计分器设计

河南理工大学本科课程设计报告河南理工大学单片机课程设计报告篮球比赛计分器设计姓名学号专业班级09级电气工程及其自动化指导老师所在学院河南理工大学成人教育学院20xx年9月16日1河南理工大学本科课程设计报告摘要...

篮球计分器设计报告

课程设计报告课程名称:数字电路课程设计设计题目:篮球比赛数字计分器院(部):机械与电子工程电学院学生姓名:**起止日期:20xx年6月7日-20xx年6月22日指导教师:**华贵山专业:电气信息类学号:***一…

篮球计分器设计报告

云南机电职业技术学院课程设计题目系部专业学生姓名班级学号指导教师单片机简易篮球计分器设计报告电气工程系应用电子技术高斌102211022136陈家敏20xx年06月日10云南机电职业技术学院目录摘要11概述22...

篮球比赛计分器实验报告

课程设计课程名称电子技术综合设计与实践题目名称篮球比赛计分器学生学院专业班级学号ampampampampamp学生姓名指导教师20xx年11月15日大学课程设计任务书题目名称学生学院专业班级姓名学号篮球比赛计分...

篮球计分器课程设计报告

广西工学院鹿山学院课程设计报告设计名称篮球计分的设计指导老师周彦明班级供配电092姓名电子课程表系统摘要该实验设计基于AVRmega16开发板平台根据点阵屏和七段数码管的基本原理做出了方便实用的电子课表前言AV...

篮球计分器课程设计报告

电气工程及其自动化学院学生课程设计报告题目篮球记分器课程设计报告指导老师梁建伟电气工程及其自动化学院专业班级电气131姓名杨昊东1月14日一概述目前篮球计分器的设计是通过AT89C52单片机芯片的工作原理在原来...

篮球计分器课程设计报告参考范本

株洲职业技术学院课程设计报告设计名称篮球计分与控制器的设计与仿真班级姓名20xx20xx学年第一学期电子课程表系统摘要该实验设计基于AVRmega16开发板平台根据点阵屏和七段数码管的基本原理做出了方便实用的电...

篮球场计时计分器的设计

篮球场计时计分器的设计杨杰徐州工业职业技术学院电气092班摘要由单片机AT89C51编程控制LED七段数码管作显示的球赛计时计分系统具有赛程定时设置成绩即时刷新及成绩暂存等功能具有价格低廉性能稳定等优点适合在各...

篮球比赛计分器设计

河北科技师范学院课程设计报告河北科技师范学院单片机原理及接口技术课程设计报告篮球比赛计分器设计偏硬姓名学号专业班级指导老师1河北科技师范学院课程设计报告摘要本设计是采用单片机AT89C52作为本设计的核心元件利...

篮球比赛记分器设计

CHANGCHUNINSTITUTEOFTECHNOLOGY设计题目学生姓名学院名称专业名称班级名称学号完成时间篮球比赛记分器设计DesignOfBasketballScoring篮球比赛记分器设计wwwwww...

单片机篮球计时计分器

大学单片机课程设计报告篮球计时计分器姓名学号专业班级指导老师所在学院20xx年6月30日河南理工大学本科课程设计报告摘要本设计是采用单片机AT89C51作为本设计的核心元件利用7段共阳LED作为显示器件在此设计...

篮球比分计时器课程设计

课程设计题目篮球赛计时计分器二级学院电子信息与自动化专业电气工程及其自动化班级学生姓名学号指导教师摘要此次设计隶属于计算机控制技术弱电课程设计主要通过基于单片机AT89S51芯片作为本的核心控制元件利用1602...

篮球计分器设计报告(23篇)