译码器和数据选择器 实验报告

时间:2024.4.21

实验三  译码器和数据选择器

一、    实验目的

1. 熟悉中规模集成译码器电路的原理及功能;

2. 掌握中规模集成译码器的使用方法及功能测试方法;

3. 了解集成译码器的应用。

二、实验仪器设备和材料

1. TPE-AD型数字电路实验箱      1台

2. 3线-8线译码器74LS138       1块

3. 双四输入与非门74LS20        1块

4. 四两输入与非门74LS00        1块

5. 双四选一数据选择器74LS153   1块

三、实验内容

1. 74LS138逻辑功能测试

2. 用74LS138和74LS20构成一位全减器

3. 用74LS138实现一个数据分配器

4. 用74LS138和74LS153构成一个四通道数据传输系统

四、实验数据及相关图表

①74LS138脚管分布图

74LS138管脚分布图

74LS138真值表

②74LS138实现一个数据分配器

③数据选择器(图如上)

多通道数据传输:

 

                                                                                                 

五、实验总结

本次的实验不像上次那么简单,对于逻辑电路的应用需要更熟练。74LS138的功能是将每个输入的二进制代码译成对应的输出高,低电平的信号,它是编码的反操作。在实验过程中因为要控制更多的输入和观察记录等更多的输出,每一步都要准确无误才会得到正确的结果。

对双四数据选择器74LS153的使用相对困难。首先是原理的理解,其次是线路的分配。把74LS138和74LS153综合运用起来才能实现多通道数据传输。应该先对电路的数字逻辑进行详细的分析,可以提高学习的效率也能加强对实验的理解。


第二篇:触发器 数据选择器和译码器实验报告3


CPLD/FPGA设计实验报告

实验名称:                   时序电路设计基础                           

实验目的:   掌握Quartus II 软件的基本使用方法,完成基本时序电路设计     

实验内容:

实验一  D触发器

一、         创建工程

工程名称:dff_asyn                  顶层实体文件名:dff_asyn

器件:        EP1C3T100C6                                (要求:Cyclone系列任意器件)

二、         创建文件

创建Verilog HDL文件,实现同步置数异步清零带Q_n输出的D触发器。

module dff_asyn(q,qn,d,clk,set,reset);

input d,clk,set,reset;

output reg q,qn;

always @ (posedge clk  or negedge reset)

  begin

    if (~reset)

      begin

      q<=1'b0;

      qn<=1'b1;

      end

    else if (set)

      begin

      q<=1'b1;

      qn<=1'b0;

      end

    else

      begin

      q<=d;

      qn<=~d;

      end 

  end

endmodule

三、         编译工程

报告中下列数据是多少

四、         仿真电路

1、创建VWF文件

2、设定“End Time”为20us

3、在VWF文件中添加Node OR Bus

4、编辑波形

5、仿真

6、画出仿真结果

实验二  数据选择器

一、         创建工程

工程名称:       mux6_1               顶层实体文件名:   mux6_1                      

器件:      EP1C3T100C6                                (要求:Cyclone系列任意器件)

二、 创建文件

创建Verilog HDL文件,实现6选1数据选择器。

module mux6_1(out,in0,in1,in2,in3,in4,in5,sel);

input in0,in1,in2,in3,in4,in5;

input [2:0] sel;

output reg out;

always @ (in0,in1,in2,in3,in4,in5,sel)

   case (sel)

   3'b000: out=in0;

   3'b001: out=in1;

   3'b010: out=in2;

   3'b011: out=in3;

   3'b100: out=in4;

   3'b101: out=in5;

   default: out=3'b111;

   endcase

endmodule

三、编译工程

报告中下列数据是多少

四、  仿真电路

1、创建VWF文件

2、设定“End Time”为20us

3、在VWF文件中添加Node OR Bus

4、编辑波形

5、仿真

6、画出仿真结果

           实验三  3-8译码器(使用Always语句实现)

一、创建工程

工程名称:       tt74138         顶层实体文件名:   tt74138                             

器件:      EP1C3T100C6                                (要求:Cyclone系列任意器件)

二、 创建文件

创建Verilog HDL文件,实现3-8译码器(使用Always语句实现)。

module tt74138(a,y,g1,g2a,g2b);

input [2:0] a;

input g1,g2a,g2b;

output reg [7:0] y;

always@ (a,y,g1,g2a,g2b)

   begin

    if (g1&~g2a & ~g2b)

      begin case(a)

      3'b000:y=8'b1111_1110;

      3'b001:y=8'b1111_1101;

      3'b010:y=8'b1111_1011;

      3'b011:y=8'b1111_0111;

      3'b100:y=8'b1110_1111;

      3'b101:y=8'b1101_1111;

      3'b110:y=8'b1011_1111;

      3'b111:y=8'b0111_1111;

      endcase

      end

      else y=8'b1111_1111;

   end

endmodule

三、编译工程

报告中下列数据是多少

四、  仿真电路

1. 创建VWF文件

2. 设定“End Time”为20us

3. 在VWF文件中添加Node OR Bus

4. 编辑波形

5. 仿真

6. 画出仿真结果

更多相关推荐:
译码器和数据选择器 实验报告(有数据)

实验三译码器和数据选择器一实验目的1熟悉集成译码器2学习集成译码器和数据选择器的应用二实验仪器及器件1双踪示波器2实验用元器件74LS13924线译码器1片74LS153双4选1数据选择器1片74LS00二输入...

实验三 译码器和数据选择器

信息工程学院数字逻辑与数字系统实验实习报告学院信息工程学院班级信息111姓名朱伟定学号20xx013259成绩A实验三译码器和数据选择器一实验目的1熟悉中规模集成译码器电路的原理及功能2掌握中规模集成译码器的使...

译码器和数据选择器实验报告

译码器和数据选择器12级电子信息工程20xx1060192朱加熊实验目的1熟悉集成译码器和数据选择器2掌握集成译码器和数据选择器的应用3学习组合逻辑电路的设计实验仪器及材料1双踪示波器2器件74LS00二输入端...

实验三 译码器和数据选择器

实验三译码器和数据选择器一实验目的1熟悉中规模集成译码器电路的原理及功能2掌握中规模集成译码器的使用方法及功能测试方法3了解集成译码器的应用二实验预习要求1复习译码器电路工作原理2预习中规模集成电路译码器74L...

数电实验三 译码器和数据选择器

实验三译码器和数据选择器一实验目的1掌握译码器的功能和应用2掌握数据选择器的功能和应用二实验仪器及器件1仪器数字电路学习机双踪示波器2器件74LS00二输入四与非门1片74LS139双24线译码器1片74LS1...

实验三 译码器和数据选择器

实验三译码器和数据选择器一实验目的1熟悉中规模集成译码器电路的原理及功能2掌握中规模集成译码器的使用方法及功能测试方法3了解集成译码器的应用二实验预习要求1复习译码器电路工作原理2预习中规模集成电路译码器74L...

实验二 译码器与数据选择器的功能测试及应用 (实验报告)

实验2译码器与数据选择器的功能测试及应用一实验目的与要求5分1掌握中规模集成译码器与数据选择器的逻辑功能和使用方法2学习用集成译码器与数据选择器构成组合逻辑电路的方法三实验原理与内容20分1译码器1译码与译码器...

译码器和数据选择器

译码器仿真结果数据选择器仿真结果

数据选择器和译码器

数据选择器和译码器1用数据选择器74153设计一个一位全加器电路全加器真值表SABCABCABCABCC0AB0ABCABCAB12试选用集成译码器74138来构成一位二进制全减器真值表Pm1m2m4m7Y1Y...

实验三 译码器、数据选择器及其应用

实验三译码器数据选择器及其应用一实验目的1熟练掌握集成译码器数据选择器的工作原理逻辑功能2熟练掌握集成译码器数据选择器实现某些逻辑函数二实验器件13线8线译码器74LS138128选1数据选择器74LS1511...

实验五 译码器和数据选择器的使用

实验五译码器和数据选择器的使用1实验目的1熟悉数据分配器和译码器的工作原理与逻辑功能2掌握数据分配器和译码器的使用2理论准备1具有译码功能的逻辑电路称为译码器译码即编码的逆过程将具有特定意义的二进制码进行辨别并...

数字电路实验二数据选择器应用

实验报告课程名称数字电路实验第实验名称实验时间实验地点指导教师数据选择器应用20xx年3月31日学号姓名评定成绩一实验目的1通过实验的方法学习数据选择器的电路结构和特点2掌握数据选择器的逻辑功能和它的测试3掌握...

译码器和数据选择器实验报告(23篇)