设计一个24进制计数器(时序逻辑电路设计实验 )

时间:2024.4.13

阶段性考核之三:【平时成绩15分】

时序逻辑部分设计型实验报告


附录1:整体清零法仿真电路图


附录2:整体置数法仿真电路图


附录3:方案二仿真电路图


附录4:方案三仿真电路图(整体清零法实现24进制)

译码器的主要任务是将控制器的输出 Q1、 Q0的4种工作状态,翻译成甲、乙车道上6个信号灯的工作状态。控制器的状态编码与信号灯控制信号之间的关系如表 12、4所示。实现上述关系


附录5:方案四仿真电路图(整体置数法实现24进制)


第二篇:关于时序逻辑电路设计与仿真的实验


中北大学信息与通信工程学院实验报告

课程名称            基于VHDLCPLD/FPGA开发与应用            

实验项目名称           实验4 时序逻辑电路设计与仿真               

学生姓名    彭营   专业班级    08050641     学号  0805064112

实验成绩         指导老师(签名              日期  2011.10.20 

一.    实验目的

1.学习使用MaxPlus II(Quartus II)软件;

2.掌握VHDL语言设计基本单元及其构成;

3.掌握VHDL语言设计基本的时序逻辑电路及仿真的方法;

4.学会编译,调试,仿真,分析所设计的时序逻辑电路;

二.    实验内容

1.设计带使能的递增计数器;

2.在步骤1的基础上设计一带使能的同步(异步)复位的递增(递减)计数器;

3.在步骤1的基础上设计同步(异步)清零的同步(异步)复位的递增(递减)计数器;

. 函数的功能说明及算法思路

1.请编写带使能的递增计数器的VHDL代码;

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

entity ycounter is

       port(clk,ld,enable:in std_logic;

            d:in integer range 0 to 255;

            qk:out integer range 0 to 255);

end ycounter;

architecture a_ycounter of ycounter is

begin

 PROCESS (clk)

 VARIABLE cnt : INTEGER RANGE 0 TO 255;

 BEGIN

  IF (clk'EVENT AND clk = '1') THEN

         IF(enable = '1') THEN

            cnt := cnt + 1;

         END IF;

  END IF;

 qk <= cnt;

 END PROCESS;

end a_ycounter;

2.在步骤1的基础上请编写带使能的同步(异步)复位的递增(递减)计数器的VHDL代码;

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

entity ycounter is

       port(clk,clear,ld,enable:in std_logic;

            d:in integer range 0 to 255;

            qk:out integer range 0 to 255);

end ycounter;

architecture a_ycounter of ycounter is

begin

 PROCESS (clk)

 VARIABLE cnt : INTEGER RANGE 0 TO 255;

 BEGIN

  IF (clk'EVENT AND clk = '1') THEN

      IF(ld = '0') THEN

         cnt := d;

      ELSE

         IF(enable = '1') THEN

            cnt := cnt + 1;

         END IF;

      END IF;

    END IF;

  END IF;

 qk <= cnt;

 END PROCESS;

end a_ycounter;

3.在步骤1的基础上请编写同步(异步)清零的同步(异步)复位的递增(递减)计数器的VHDL代码;

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

entity ycounter is

       port(clk,clear,ld,enable:in std_logic;

            d:in integer range 0 to 255;

            qk:out integer range 0 to 255);

end ycounter;

architecture a_ycounter of ycounter is

begin

 PROCESS (clk)

 VARIABLE cnt : INTEGER RANGE 0 TO 255;

 BEGIN

  IF (clk'EVENT AND clk = '1') THEN

    IF(clear = '0') THEN

       cnt := 0;

    ELSE

      IF(ld = '0') THEN

         cnt := d;

      ELSE

         IF(enable = '1') THEN

            cnt := cnt + 1;

         END IF;

      END IF;

    END IF;

  END IF;

 qk <= cnt;

 END PROCESS;

end a_ycounter;

. 实验结果与分析

1.请对带使能的递增计数器的功能进行仿真,把仿真的结果图附上;

2.请对带使能的同步(异步)复位的递增(递减)计数器的功能进行仿真,把仿真的结果图附上;

3.请对同步(异步)清零的同步(异步)复位的递增(递减)计数器的功能进行仿真,把仿真的结果图附上;

五. 心得体会

    这次实验是设计一个带使能的同步(异步)复位的递增(递减)计数器和同步(异步)清零的同步(异步)复位的递增(递减)。通过本次实验我掌握了VHDL设计基本的时序逻辑电路及仿真,掌握了Quartus II软件时钟的加入方法和触发器同步复位和异步复位的实现方式。本实验中时序逻辑电路在时钟脉冲的上升沿或下降沿的控制下才能发生状态变化。因此时钟信号通常是描述时序逻辑电路程序的执行条件。VHDL语言提供了测试时钟脉冲敏感边沿的语句和函数,如触发器功能的实现。通过今天的实验我在软件上验证了信号和变量的主要区别,进一步熟悉了该软件的使用。

更多相关推荐:
时序逻辑电路测试及研究 实验报告(有数据)

实验六时序逻辑电路测试及研究一实验目的1掌握计数器电路分析及测试方法2训练独立进行实验的技能二实验仪器及器件1双踪示波器实验箱2实验用元器74LS001片74lS732片74LS1751片74LS101片三实验...

学生实验报告模版—时序逻辑电路的设计与测试-参考答案

实验十一时序逻辑电路的设计与测试一实验目的1掌握时序逻辑电路的设计原理与方法2掌握时序逻辑电路的实验测试方法二实验原理该实验是基于JK触发器的时序逻辑电路设计要求设计出符合一定规律的红绿黄三色亮灭循环显示的电路...

实验三 时序逻辑电路的设计

实验三时序逻辑电路的设计电14杨天宇20xx010949一实验目的1学习时序逻辑电路的分析方法和设计方法2熟悉并掌握利用中小规模芯片实现时序逻辑电路的方法3提高调试数字电路的能力二预习任务1根据试验任务要求完成...

时序逻辑电路实验报告

二时序逻辑电路实验题目1试用同步加法计数器74LS161或74LS160和二4输入与非门74LS20构成百以内任意进制计数器并采用LED数码管显示计数进制采用555定时器构成多谐振荡电路为同步加法计数器提供时钟...

异步时序逻辑电路设计实验报告

异步时序逻辑电路的设计1实验目的熟悉并掌握脉冲异步逻辑电路的分析方法加深对异步时序逻辑电路的理解掌握时序逻辑电路的设计方法及如何消除临界竞争2实验器材二输入与非门74LS00反向器74LS04三输入与非门74L...

触发器-时序逻辑电路实验报告

课程名称数字电子技术基础实验指导老师樊伟敏实验名称触发器应用实验实验类型设计类同组学生姓名一实验目的和要求必填二实验内容和原理必填三主要仪器设备必填五实验数据记录和处理七讨论心得一实验目的1加深理解各触发器的逻...

时序逻辑电路实验报告

时序逻辑电路实验报告,内容附图。

组合及时序逻辑电路的设计

实课程名称实验项目姓名专业班级学号验报告Verilog数字系统设计教程组合及时序逻辑电路的设计郭鹏波计算机科学与技术计2120xx10204计算机科学与技术学院实验教学中心20xx年5月1日哈尔滨理工大学计算机...

实验七 时序逻辑电路的测试

实验七时序逻辑电路的测试一实验目的1熟悉时序逻辑电路的分析方法2掌握时序逻辑电路的测试方法二实验原理简述时序逻辑电路的分析方法根据时序逻辑电路分析步骤得出电路的逻辑功能进行测试在时钟信号输入端加入合适的脉冲信号...

实验11 时序逻辑电路分析

第1篇常规实验实验十一时序逻辑电路的分析实验十一时序逻辑电路的分析一实验目的了解JK触发器触发器的性能学习使用由触发器组成的各种时序逻辑电路二实验仪器11台编号为RTSD4的数字电路实验箱21块编号为UT70A...

实验四 时序逻辑电路设计(1)

实验四 时序逻辑电路设计(1),内容附图。

实验05 时序逻辑电路实验(1)

实验五时序逻辑电路实验1一实验目的1通过实验学习通过VHDL语言设计电路的基本方法2通过实验理解D触发器的设计方法掌握在QuartusII软件中通过VHDL语言的形式进行D触发器设计的过程二实验步骤1在我的电脑...

时序逻辑电路设计实验报告(26篇)