EDA课程设计报告书
设计名称:数值比较器
专业班级:
学 号:
姓 名:
设计任务:
设计一个计四位二进制码比较器,已达到一下几个实验目的:
1.用硬件描述语言编写四位二进制码 比较器的源文件;
2.对设计进行仿真验证;
3.编程下载并在实验开发系统上进行 硬件验证。
4. 掌握EDA开发工具的VHDL设计方法。
设计功能:
在数字系统中,特别是在计算机中都需要具有运算功能,一种简单的运算就是比较两个数A和B的大小。而四位数值比较器是数字电路中应用最广泛的一种比较器,所以本设计实现两个4位二进制码的比较,输入为两个4位二进制码A3A2A1A0和B3B2B1B0,输出为M(A=B),G(A>B)和L(A<B)。通过键盘来调节各位的0/1值,输出通过发光二极管的亮灭显示最终比较结果。而以上实验功能是通过Quartus软件对程序进行编译,并调试出仿真波形,与实验箱进行连接,观察实验现象实现的。
设计流程图:
使用QUARTUSII 分配管脚
软件编写程序
功能仿真 下载程序连 观察实验现
接试验箱 象
1. 实验目的
1. 设计四位二进制码比较器,并在实验开发系统上验证。
2.学习层次化设计方法。
2. 实验器材
PC机、FPGA实验箱。
3. 实验原理
实验原理图如下:
四位二进制码比较器框图
实验电路图
在Quartus II对程序进行编辑、编译、综合、适配、仿真。
仿真波形:
(2)引脚锁定以及硬件下载测试
引脚锁定后进行编译、下载和硬件测试实验。
通过查表“GW48 EDA系统实验信号名与芯片引脚对照表”决定引脚号。
引脚锁定如下图所示:
下载和硬件测试:
将程序下载到试验箱中后,选择模式五。利用键盘输入数据,实现了数据的大小比较,并通过LED灯将实验结果表示了出来。
实验结果及分析
由仿真波形可以看出:
当A2A1A0大于B2B1B0时,11引脚输出高电平,由11引脚控制的LED灯会点亮;当A2A1A0小于B2B1B0时,32引脚输出高电平,由32引脚控制的LED灯会点亮;当A2A1A0等于B2B1B0时,33引脚输出高电平,由33引脚控制的LED灯会点亮。.
综上,此程序实现了四位二进制码的大小比较功能,试验成功。
,6.实验心得
本实验实现了两个4位二进制码的比较器,通过键盘输入两个4位二进制码A3A2A1A0和B3B2B1B0,输出为M(A=B),G(A>B)和L(A<B)。并以LED灯显示。通过本次试验,使我更好的熟悉quartusII的使用流程,加深了对quartusII的仿真的认识,进一步熟悉了VHDL设计技术。在实验过程中也得到了实验老师和学长、同学的帮助。在此表示由衷的感谢。
实验程序如下:
library ieee;
use ieee.std_logic_1164.all;
entity comp4 is
port( A3,A2,A1,A0: in std_logic;
B3,B2,B1,B0: in std_logic;
G,M,L: out std_logic);
end comp4;
architecture behave of comp4 is
begin
p1: process(A3,A2,A1,A0,B3,B2,B1,B0)
variable comb1,comb2: std_logic_vector(3 downto 0);
begin
comb1:=A3&A2&A1&A0;
comb2:=B3&B2&B1&B0;
if(comb1>comb2) then G<=’1’; M<=’0’; L<=’0’;
elsif(comb1<comb2) then M<=’1’; G<=’0’; L<=’0’;
else L<=’1’; G<=’0’; M<=’0’;
end if;
end process p1;
end behave;
第二篇:EDA课程设计报告模板
附表3
北 华 航 天 工 业 学 院
《EDA技术综合设计》
课程设计报告
报告题目: 作者所在系部: 作者所在专业: 作者所在班级: 作 者 姓 名 :指导教师姓名: 完 成 时 间 :
内 容 摘 要 、可编程逻辑器件、计数器、显示器 关键词 EDA
目 录
(目录页单独列出,要有页码,按3级标题处理)
课程设计任务书
(此部分为设计正文)