汽车尾灯课程设计++VHDL++EDA

时间:2024.4.9

目  录

1.引  言. 1

1.1设计的目的. 1

1.2设计的基本内容. 1

1.3 EDA的介绍. 1

1.3.1 EDA技术的概念. 1

1.3.2 EDA技术的特点. 2

1.3.3 EDA设计流程. 2

1.4硬件描述语言(VHDL). 2

1.4.1 VHDL的介绍. 2

1.4.2 VHDL语言的特点. 3

2.总体设计. 4

2.1需求分析. 4

2.2汽车尾灯控制器的工作原理. 4

2.3 汽车运行状态表和总体框图. 5

3.详细设计. 6

3.1各组成模块. 6

3.2时钟分频模块. 6

3.3 汽车尾灯主控模块. 6

3.4左边灯控制模块. 7

3.5右边灯控制模块. 9

4.系统仿真与调试. 10

4.1分频模块仿真及分析. 10

4.2汽车尾灯主控模块仿真及分析. 10

4.3左边灯控制模块仿真及分析. 11

4.4右边灯控制模块仿真及分析. 11

4.5整个系统仿真及分析. 12

4.6 总体设计电路图. 12

总结. 13

参考文献. 14

1.引  言

   随着社会的发展,科学技术也在不断的进步,状态机的应用越来越广泛。现代交通越来越拥挤,安全问题日益突出,在这种情况下汽车尾灯控制器的设计成为解决交通安全问题一种好的途径。在本课程设计根据状态机原理[1]实现了汽车尾灯常用控制。

1.1设计的目的

本次设计的目的就是通过实践深入理解计算机组成原理,了解EDA技术[2]并掌握VHDL硬件描述语言的设计方法和思想。以计算机组成原理为指导,通过学习的VHDL语言结合电子电路的设计知识理论联系实际,掌握所学的课程知识和基本单元电路的综合设计应用。通过对实用汽车尾灯控制器[3]的设计,巩固和综合运用所学知识,提高IC设计能力,提高分析、解决计算机技术实际问题的独立工作能力。

1.2设计的基本内容

根据计算机中状态机原理,利用VHDL设计汽车尾灯控制器的各个模块,并使用EDA 工具对各模块进行仿真验证。汽车尾灯控制器的设计分为4个模块:时钟分频模块、汽车尾灯主控模块,左边灯控制模块和右边灯控制模块。把各个模块整合后就形成了汽车尾灯控制器。通过输入系统时钟信号和相关的汽车控制信号,汽车尾灯将正确显示当前汽车的控制状态。

1.3 EDA的介绍

1.3.1 EDA技术的概念

EDA是电子设计自动化(Electronic Design Automation)的缩写,在20世纪90年代初从计算机辅助设计(CAD)、计算机辅助制造(CAM)、计算机辅助测试(CAT)和计算机辅助工程(CAE)的概念发展而来的。EDA技术就是以计算机为工具,设计者在EDA软件平台上,用硬件描述语言HDL完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。

1.3.2 EDA技术的特点

利用EDA技术进行电子系统的设计,具有以下几个特点:① 用软件的方式设计硬件;② 用软件方式设计的系统到硬件系统的转换是由有关的开发软件自动完成的;③ 设计过程中可用有关软件进行各种仿真;④ 系统可现场编程,在线升级;⑤ 整个系统可集成在一个芯片上,体积小、功耗低、可靠性高。因此,EDA技术是现代电子设计的发展趋势。

1.3.3 EDA设计流程

典型的EDA设计流程如下:

1、文本/原理图编辑与修改。首先利用EDA工具的文本或图形编辑器将设计者的设计意图用文本或图形方式表达出来。
  2、编译。完成设计描述后即可通过编译器进行排错编译,变成特定的文本格式,为下一步的综合做准备。
  3、 综合。将软件设计与硬件的可实现性挂钩,是将软件转化为硬件电路的关键步骤。

  4、 行为仿真和功能仿真。利用产生的网表文件进行功能仿真,以便了解设计描述与设计意图的一致性。
  5、适配。利用FPGA/CPLD布局布线适配器将综合后的网表文件针对某一具体的目标器件进行逻辑映射操作,其中包括底层器件配置、逻辑分割、逻辑优化、布局布线。适配报告指明了芯片内资源的分配与利用、引脚锁定、设计的布尔方程描述情况。
  6、 功能仿真和时序仿真。

7、 下载。如果以上的所有过程都没有发现问题,就可以将适配器产生的下载文件通过FPGA/CPLD下载电缆载入目标芯片中。

 8、 硬件仿真与测试。

1.4硬件描述语言(VHDL)

1.4.1 VHDL的介绍

VHDL(Very-High-Speed Integrated Circuit Hardware Description Language)主要用于描述数字系统的结构,行为,功能和接口。除了含有许多具有硬件特征的语句外,VHDL的语言形式和描述风格与句法是十分类似于一般的计算机高级语言。VHDL的程序结构特点是将一项工程设计,或称设计实体(可以是一个元件,一个电路模块或一个系统)分成外部(或称可是部分,及端口)和内部(或称不可视部分),既涉及实体的内部功能和算法完成部分。在对一个设计实体定义了外部界面后,一旦其内部开发完成后,其他的设计就可以直接调用这个实体。这种将设计实体分成内外部分的概念是VHDL系统设计的基本

1.4.2 VHDL语言的特点

1.用VHDL代码而不是用原理图进行设计,意味着整个电路板的模型及性能可用计算机模拟进行验证。

2.VHDL元件的设计与工艺无关,与工艺独立,方便工艺转换。

3.VHDL支持各种设计方法,自顶向下、自底向上或者混合的都可以。

4.可以进行从系统级到逻辑级的描述,即混合描述。

5.VHDL区别于其他的HDL,已形成标准,其代码在不同的系统中可交换建模。

2.总体设计

2.1需求分析

根据现代交通规则,汽车尾灯控制器应满足以下基本要求:

1.汽车正常使用是指示灯不亮

2.汽车右转时,右侧的一盏灯亮

3.汽车左转时,左侧的一盏灯亮

4.汽车刹车时,左右两侧的指示灯同时亮

   5.汽车夜间行驶时,左右两侧的指示灯同时一直亮,供照明使用

2.2汽车尾灯控制器的工作原理

汽车尾灯控制器就是一个状态机的实例。当汽车正常行驶时所有指示灯都不亮;当汽车向右转弯时,汽车右侧的指示灯RD1亮;当汽车向左侧转弯时,汽车左侧的指示灯LD1亮;当汽车刹车时,汽车右侧的指示灯RD2和汽车左侧的指示灯LD2同时亮;当汽车在夜间行驶时,汽车右侧的指示灯RD3和汽车左侧的指示灯LD3同时一直亮。通过设置系统的输入信号:系统时钟信号CLK,汽车左转弯控制信号LEFT,汽车右转弯控制信号RIGHT,刹车信号BRAKE,夜间行驶信号NIGHT和系统的输出信号:汽车左侧3盏指示灯LD1、LD2、LD3和汽车右侧3盏指示灯RD1、RD2、

RD3实现以上功能。系统的整体组装设计原理如图3.1所示。

图2.3整体组装设计原理

2.3 汽车运行状态表和总体框图

汽车尾灯和汽车运行状态表如表1所示。

汽车尾灯和汽车运行状态表1-1

汽车尾灯控制电路设计总体框图如图1所示:

图1    汽车尾灯控制电路设计总体框图

 

 

 

3.详细设计

3.1各组成模块

   实现的主要功能是通过开关控制从而实现汽车尾灯的点亮方式。汽车尾灯控制器有4个模块组成,分别为:时钟分频模块、汽车尾灯主控模块,左边灯控制模块和右边灯控制模块,以下介绍各模块的详细设计。

3.2时钟分频模块

整个时钟分频模块的工作框图如图3.2所示。

 

图3.2时钟分频模块工作框图

时钟分频模块由VHDL程序来实现,下面是其中的一段VHDL代码:

ARCHITECTURE ART OF SZ IS

SIGNAL COUNT:STD_LOGIC_VECTOR(7 DOWNTO 0);

BEGIN

PROCESS(CLK)

     BEGIN

         IF CLK'EVENT AND CLK = '1'THEN

              COUNT <= COUNT + 1;

         END IF;

     END PROCESS;

     CP<= COUNT(3);

END ART;

3.3 汽车尾灯主控模块

汽车尾灯主控模块工作框图如图3.3所示

 

                      

图3.3 主控模块工作框图

汽车尾灯主控模块由VHDL程序来实现,下面是其中的一段VHDL代码:

ARCHITECTURE ART OF CTRL IS

BEGIN

    NIGHT_LED<=NIGHT;

    BRAKE_LED<=BAKE;

    PROCESS(LEFT,RIGHT)

            VARIABLE TEMP:STD_LOGIC_VECTOR(1 DOWNTO 0);

            BEGIN

            TEMP:=LEFT & RIGHT;

            CASE TEMP IS

                 WHEN "00" =>LP<='0';RP<='0';LR<='0';

                 WHEN "01" =>LP<='0';RP<='1';LR<='0';

                 WHEN "10" =>LP<='1';RP<='0';LR<='0';

                 WHEN OTHERS=>LP<='0';RP<='0';LR<='1';

            END CASE;

    END PROCESS;

END ART;

3.4左边灯控制模块

左边灯控制模块的工作框图如图3.4所示。

 

图3.4左边灯控制模块的工作框图

左边灯控制模块由VHDL程序来实现,下面是其中的一段VHDL代码:

ARCHITECTURE ART OF LC IS

BEGIN

     LEDB<=BRAKE;

     LEDN<=NIGHT;

PROCESS(CLK,LP,LR)

BEGIN

      IF CLK'EVENT AND CLK = '1' THEN

         IF(LR ='0')THEN

            IF(LP = '0')THEN

               LEDL<='0';

            ELSE

               LEDL<='1';

            END IF;

         ELSE

            LEDL <='0';

         END IF;

      END IF;

END PROCESS;

END ART;

3.5右边灯控制模块

右边灯控制模块的工作框图如图3.5所示

 

图3.5 右边灯控制模块的工作框图

右边灯控制模块由VHDL程序来实现,下面是其中的一段VHDL代码:

ARCHITECTURE ART OF RC IS

BEGIN

     LEDB<=BRAKE;

     LEDN<=NIGHT;

     PROCESS(CLK,RP,LR)

        BEGIN

            IF CLK'EVENT AND CLK = '1' THEN

               IF(LR = '0')THEN

                   IF(RP = '0')THEN

                        LEDR <='0';

                    ELSE

                        LEDR <= '1';

                    END IF;

                ELSE

                     LEDR <='0';

                END IF;

             END IF;

     END PROCESS;

END ART;

4.系统仿真与调试

4.1分频模块仿真及分析

分频模块由VHDL程序实现后,其仿真图如图4.1所示

 

图4.1 分频模块仿真图

对其仿真图进行仿真分析:如图所示,首先生成一个600ns的时钟脉冲,通过时钟分频把600ns的脉冲分成一个40ns的脉冲,实现了信号同步。

4.2汽车尾灯主控模块仿真及分析

汽车尾灯主控模块由VHDL程序实现后,其仿真图如图4.2所示。

 

图4.2主控模块时序仿真图

对时序仿真图进行分析:RIGHT,LEFT,NIGHT,BRAKE 为输入信号,RIGHT为1表示右转,LEFT为1表示左转,NIGHT为1表示夜间行路,BRAKE为1表示刹车。RP,LP,NIGHT_LED,BRAKE_LED为输出信号。如图所示:当RIGHT为1时,产生一个RP为1的信号脉冲输出,当LEFT为1时,产生一个LP为1的信号脉冲输出,当NIGHT为1时,产生一个NIGHT_LED为1的信号脉冲输出。当BRAKE为1时,产生一个BRAKE_LED为1的信号脉冲输出。

4.3左边灯控制模块仿真及分析

左边灯控制模块由VHDL程序实现后,其仿真图如下图4.3所示。

对时序仿真图进行分析:LP,LR,NIGHT,BRAKE 为输入信号,LP为1表示左转,LR为1表示右转,NIGHT为1表示夜间行路,BRAKE为1表示刹车。LEDL,LEDB,LEDN为输出信号,表示汽车左侧的三盏灯。如图所示:当LP为1时,LEDL输出为1表示左侧灯亮,当BRAKE为1时,LEDB输出为1表示左侧灯亮,当NIGHT为1时,LEDN输出为1表示左侧灯亮。当LR为1时,左侧三盏灯输出均为0。即没有灯亮。

 

图4.3左边灯控制模块时序仿真图

4.4右边灯控制模块仿真及分析

右边灯控制模块由VHDL程序实现后,其仿真图如图4.4所示。

 

图4.4 右边灯控制模块时序仿真图

对时序仿真图进行分析:RP,LR,NIGHT,BRAKE 为输入信号,LR为1表示左转,RP为1表示右转,NIGHT为1表示夜间行路,BRAKE为1表示刹车。LEDR,LEDB,LEDN为输出信号,表示汽车右侧的三盏灯。如图所示:当RP为1时,LEDR输出为1表示右侧灯亮,当BRAKE为1时,LEDB输出为1表示右侧灯亮,当NIGHT为1时,LEDN输出为1表示右侧灯亮。当LR为1时,右侧三盏灯输出均为0。即没有灯亮。

4.5整个系统仿真及分析

按图2.3组装系统后的仿真图如下图4.5所示。

对时序仿真图进行分析:RIGHT,LEFT,NIGHT,BRAKE 为输入信号,RIGHT为1表示右转,LEFT为1表示左转,NIGHT为1表示夜间行路,BRAKE为1表示刹车。RD1,RD2,RD3为输出信号,表示汽车右侧的三盏灯。LD1,LD2,LD3为输出信号,表示汽车左侧的三盏灯。如图所示:当RIGHT为1时,RD1输出为1表示右侧灯亮,当LEFT为1时,LD1为输出为1表示左侧灯亮,当NIGHT为1时,LD2,RD2输出均为1,表示左,右两侧各有一盏灯亮。当BRAKE为1时,LD3,RD3输出均为1,表示左,右两侧各有一盏灯亮。

 

图4.5 整个系统仿真图

4.6 总体设计电路图

图4.6总体设计电路图

总结

通过两星期的紧张工作,最后完成了我的设计任务——汽车尾灯控制器的设计。通过本次课程设计的学习,我深深的体会到设计课的重要性和目的性。本次设计课不仅仅培养了我们实际操作能力,也培养了我们灵活运用课本知识,理论联系实际,独立自主的进行设计的能力。它不仅仅是一个学习新知识新方法的好机会,同时也是对我所学知识的一次综合的检验和复习,使我明白了自己的缺陷所在,从而查漏补缺。希望学校以后多安排一些类似的实践环节,让同学们学以致用。

在设计中要求我要有耐心和毅力,还要细心,稍有不慎,一个小小的错误就会导致结果的不正确,而对错误的检查要求我要有足够的耐心,通过这次设计和设计中遇到的问题,也积累了一定的经验,对以后从事集成电路设计工作会有一定的帮助。在应用VHDL的过程中让我真正领会到了其并行运行与其他软件顺序执行的差别及其在电路设计上的优越性。用VHDL硬件描述语言的形式来进行数字系统的设计方便灵活,利用EDA软件进行编译优化仿真极大地减少了电路设计时间和可能发生的错误,降低了开发成本,这种设计方法必将在未来的数字系统设计中发挥越来越重要的作用。

参考文献

[1] 王爱英.计算机组成与结构.北京:清华大学出版社,2001.2,

[2]   黄仁欣.EDA技术实用教程.北京:清华大学出版社,2006

[3] 曹昕燕,周凤臣,聂春燕.EDA技术实验与课程设计.北京:清华大学出版社,2006.5

[4] 杨亦华,延明.数字电路EDA入门.北京:北京邮电大学出版社,2003

[5]  彭容修,《数字电子技术基础》, 武汉,武汉理工大学出版社,2005

[6] 潘松 ,黄继业《EDA技术与VHDL》,北京,清华大学出版社,2006

 

更多相关推荐:
汽轮机课设心得总结

汽轮机课设心得总结经过两个星期的汽轮机课设对我们而言收获颇丰整个过程我们都认真完成其中不免遇到很多问题经过大家的齐心协力共同克服了它们不仅从中熟悉了汽轮机的工作原理及流程而且还获得了许多心得体会汽轮机是将蒸汽的...

汽轮机课程设计报告

汽轮机课程设计报告姓名学号班级学校华北电力大学1汽轮机课程设计报告一课程设计的目的任务与要求通过设计加深巩固汽轮机原理中所学的理论知识了解汽轮机热力设计的一般步骤掌握设计方法并通过设计对汽轮机的结构进一步了解明...

xinglei汽轮机课程设计报告

汽轮机课程设计报告一课程设计的目的任务与要求通过设计加深巩固汽轮机原理中所学的理论知识了解汽轮机热力设计的一般步骤掌握设计方法并通过设计对汽轮机的结构进一步了解明确主要零件的作用与位置具体要求就是按给定的设计条...

汽轮机课程设计报告

汽轮机课程设计报告一课程设计的目的任务与要求通过设计加深巩固汽轮机原理中所学的理论知识了解汽轮机热力设计的一般步骤掌握设计方法并通过设计对汽轮机的结构进一步了解明确主要零件的作用与位置具体要求就是按给定的设计条...

汽轮机课程设计

汽轮机设备及检修课程设计指导书一课程设计目的和任务1目的1系统地总结巩固并应用汽轮机设备及检修课程中已学过的理论知识重点掌握汽轮机凝汽系统结构与基本检修方法及工艺2通过设计对汽轮机的局部检修过程作初步了解培养自...

汽轮机课程设计报告格式

热能与动力工程专业电厂汽轮机课程设计报告题目名称指导教师学生姓名学号班级设计日期能源动力工程学院

汽轮机课程设计-闫煜

银川能源学院电力学院课程设计任务书设计题目300MW亚临界机组轴向推力的计算年级专业热动本1202班学生姓名闫煜学号1210240198指导教师于淼电力学院课程设计任务书课程名称汽轮机原理说明1此表一式三份院学...

汽轮机原理课程设计任务书

汽轮机原理课程设计任务书1设计题目凝汽式汽轮机热力设计2热力设计的内容及主要要求汽轮机热力设计的任务是按给定的设计条件确定通流部分的几何尺寸力求获得高的相对内效率就汽轮机课程设计而言其任务通常是指各级几何尺寸的...

25MW汽轮机课程设计

中温中压冷凝式汽轮机课程设计说明书目录一总述1课程设计的目的及要求2设计题目3热力设计内容4主要参数二热力设计内容回热系统计算调节级中间级焓降分配及级数确定压力级计算汽封漏气量叶顶漏汽量计算末级扭叶片叶型附上述...

汽轮机课程设计

CHANGSHAUNIVERSITYOFSCIENCEampTECHNOLOGY课程设计题目300MW超临界反动级汽轮机末级长叶片强度核算低压缸学生姓班级指导教目录目录1第一章课程设计任务2第二章概述3第三章长...

汽轮机课程设计指导书

广东工业大学热能与动力工程专业课程设计指导书设计名称汽轮机课程设计设计周数2适用班级热电09一课程设计的目的与要求1系统地总结巩固并应用汽轮机原理课程中已学过的理论知识重点掌握汽轮机热力设计的方法步骤2汽轮机热...

汽轮机课程设计

课程设计说明书题目25MW凝汽式汽轮机热力设计学生姓名连鹏飞学院能源与动力工程学院班级能环111指导教师云峰20xx年6月27日目录课程设计论文任务书3前言1近似热力过程曲线的拟定2估算汽轮机进汽量D03确定抽...

汽轮机课程设计总结(15篇)