电子万年历的设计 开题报告

时间:2024.5.9

科学技术学院

毕业设计(论文)开题报告

题 目: 电子万年历的设计

学 科 部: 信息学科部

专 业: 电子信息工程

班 级: 081电子

学 号: 7012345678

姓 名: 陈**

指导教师: 胡安保

填表日期: 2011 年 11 月 27 日

电子万年历的设计开题报告

一、选题的依据及意义:

单片机应用技术迅速发展,在我们生活的各个领域,无论工业发展中还是现实生活中都离不开它,它是集CPU,RAM,ROM,定时,计数和多接口于一体的微控制器。它体积小,成本低,功能强大,广泛应用于只能产业和工业自动化上。51系列单片机是各种单片机中最具典型和最有代表性的一种。

电子万年历作为电子类小产品不仅是市场上的宠儿, 也是是单片机设计培训中一 个很实用的题目。因为这个课题有很好的开放性和可发挥性,因此对制作者的要求比较高,不仅考察了对单片机的掌握能力更加强调了对单片机扩展的应用。而 且要求设计的电子万年历在操作上力求简洁,功能上尽量齐全,显示界面也要出色。所以,电子万年历制作无论从实用目的,还是从培养学生的角度来看都是很有价值的毕业设计课题。

意义:(1)通过设计提高对单片机的认识,进一步熟悉和掌握单片机的结构及工作原 理。

(2)通过设计、制作提高焊接、布局、电路检查能力;

(3)通过实际程序设计和调试,逐步掌握模块化程序设计方法和调试技术,提高软件设计、调试能力;

(4)通过完成一个实际电子产品从电路设计、程序开发、系统调试的完整 过程,熟悉以单片机核心的应用系统开发的全过程,掌握硬件电路设计的基本方法和技术,掌握相关电路参数的计算方法。

通过此毕业课题的开发,加深对理论知识的理解,学会将学习的理论知识在实际中运用,培养动手能力和解决实际问题的能力,为今后从事相关工作打下基础。

二、国内外研究现状及发展趋势(含文献综述):

随着科学技术的发展,以前的年历已发展成现在的电子万年历,他一开始是采用数字电路实现的,电路复杂,精确度差,每天都需要调时,由于数字集成电路的发展和石英晶体振荡器的广泛应用,使得它的电路越来越简单,精确度越来越高,现在的电子万年历一般都是集成芯片和软件结合实现,电路简单,制作方法简便,给人们生产生活带来了极大的便利,它已成为我们生活中不可缺少的家居用品。

二十一世纪的今天,最具有代表性的计时产品就是电子万年历,它是近代世界钟表业界的第三次革命。前两次革命是摆和摆轮游丝的发明,以及石英晶体振荡器的应用,第三次革命就是单片机数码计时技术的应用(电子万年历),使得从原来传统指针计时的方式发展为人们日常更为熟悉的夜光数字显示方式,直观明了,并增加了全自动日期,星期,温度以及其他日常附属信息的显示功能,它更符合消费者的生活需求!因此,电子万年历的出现带来了钟表计时业界跨越性的进步。

电子万年历的发展趋势将会朝着功能多,读取操作简单,显示更加直观,电路更加简洁,成本越来越低,满足大部分人的要求。随着国内超大规模集成电路的出现,微处理器及

1

其外围芯片有了迅速的发展。集成技术的最新发展之一就是将CPU和外围芯片,如程序存储器,数据存储器,并行,串行I/O口,定时/计数器,中断控制器及其他控制器件集成在一个芯片中,支撑单片计算机。而近年来推出的一些高档单片机还包括有许多特殊功能的单元,如A/D,D/A转换器,调制解调器,锁相环,浮点运算单元等。因此只要外加一些扩展电路及必要的通道接口就可以构成各种计算机应用系统,如数据采集系统,自动测试系统等。

三、本课题研究内容:

要研究内容是设计一个基于单片机多功能的电子万年历。单片机最为本设计的核心,实现时钟日历的显示:用八段LED数码管分别显示年、月、日、星期、时、分、秒,用DS1302作为该设计的实时时钟芯片,用74LS164寄存器来驱动数码管的各段码,用三极管来驱动数码管的各位码。

四、本课题研究方案:

方案一:在FPGA芯片上,通过配置NIOS软核处理器和相关接口模块,实现了嵌入式系统的硬件结构。系统用液晶模块显示万年历等汉字字符,用8个七段数码管显示电子钟的日期和时间,并可通过按键对其进行调整。具有高集成度、设计灵活和可移植性较好等特点。但是此种方案的需要的成本高,作为设计研究不予采取。

方案二:采用89C51芯片作为硬件核心,采用Flash ROM,内部具有4KB ROM存储空间,能于3V的超低压工作,而且与MCS-51系列单片机完全兼容,但是孕育电路设计中时由于不具备ISP在线编程技术,当在对电路进行调试时,由于程序的错误修改或对程序的新增功能需要烧入程序时, 对芯片的多次拔插会对芯片造成一定的损坏。用LED数码管动态显示,LED数码管价格适中,对于显示数字合适,且采用动态扫描法与单片机连接时,占用单片机接口线少。采用DS1302时钟芯片实现时钟,DS1302芯片是一种高性能的时钟芯片,可自动对秒、分时日周月年以及闰年补偿的年进行计数,而且精度高,位的RAM作为数据暂存区,工作电压2.5V~5.5V范围内,2.5V时耗电小于300mA。所以方案二对于我们学生作为毕业设计最为合适,且作品的精度高,成本低,易于制作研究。

如下面框图

电子万年历的设计开题报告

图1电子万年历方案框图

另外软件设计部分主要是运用程序完成时间现实和定时输出判断功能。而年月日实现和各时间单元进位,时间按设定,调定时间设定时等功能全部在中断服务程序中完成。本设计利用数量较少的芯片制作了一个运用简单的电子万年历。

2

五.研究目标、主要特色及工作进度:

1、研究目标:

设计一个万年历可以显示年、月、日、时、分、秒、星期等基本信息,具有调整日期个时间功能,可以与即时时间同步。

2.主要特色:

能同时显示阳历年、月、日、星期、小时、分、秒和阴历月、日。具有较高的精确度,一年的误差在1S以下,具有时间校准等功能。

3.工作进度:

熟悉资料,开始毕业设计准备

完成开题报告和外文资料翻译

完成系统硬件设计

编写系统软件流程图和程序及调试

完成系统的硬件仿真

整理资科,完成论文

论文答辨准备和答辨

3 2011.11.21—2011.11.27 2011.11.28—2011.12.04 2011.12.05—2011.12.11 2011.12.12—2011.12.18 2011.12.19—2011.12.25 2011.12.26—2012.01.08 2012.05.01—2012.05.31

六、参考文献:

[1] 谢宋和,单片机在LED显示屏中的应用,电子与自动化。

[2] 施齐云,一种LED汉字信息动态显示可控系统的设计,信息技术。

[3] 张义和 例说51单片机(C 语言版) 人民邮电出版社 2008

[4] 刘建清 从零开始学单片机C语言 国防工业出版社 2006

[5] 刘勇 数字电路 电子工业出版社 2004

[6] 陈正振 编电子电路设计与制作 广西交通职业技术学院信息工程系 2007

[7] 霍孟友.单片机原理与应用.北京:机械工业出版社,2004

[8] 康华光.电子技术基础(模拟部分).北京:高等教育出版社,2004

[9] 康华光.电子技术基础(数字部分).北京:高等教育出版社,2004

[10] 王建校等,51系列单片机机C5l程序设计,北京,科学出版社,2002 2

[11] 刘勇 编 数字电路 电子工业出版社 2004

[12] 陈正振 编 电子电路设计与制作 2007

[13] 杨子文 编 单片机原理及应用 西安电子科技大学出版社 2006

[14] 王法能 编 单片机原理及应用 科学出版社 2004

[15] 许惠民 单片微型计算机原理、接口机应用 北京邮电大学出版社 2004

[16] 康华光 电子技术基础 北京高等教育出版社 2002

4


第二篇:基于单片机的电子万年历设计原


本文由rockman6x贡献

doc文档可能在WAP端浏览体验不佳。建议您优先选择TXT,或下载源文件到本机查看。 摘 要

单片机应用技术飞速发展,纵观我们现在生活的各个领域,从导弹的导航装 置,到飞机上各种仪表的控制,从计算机的网络通讯与数据传输,到工业自动化 过程的实时控制和数据处理,以及我们生活中广泛使用的各种智能 IC 卡,电子 宠物等,这些都离不开单片机.单片机是集 CPU ,RAM ,ROM ,定时,计数和多 种接口于一体的微控制器.它体积小,成本低,功能强,广泛应用于智能产业和 工业自动化上.而 51 系列单片机是各单片机中最为典型和最有代表性的一种. 这次毕业设计通过对它的学习,应用,从而达到学习,设计,开发软,硬的能力. 本文通过对一个基于单片机的能实现万年历功能电子时钟的设计,从而达 到学习,了解单片机相关指令在各方面的应用.系统由主控制器 AT89C51,时 钟电路 DS1302,显示电路,按键电路,和复位电路等部分构成,能实现时钟日 历显示的功能,能进行时,分,秒的显示. 关键词 : 单片机, 农历查询, 万年历

25

Abstract

SCM application technology develop rapidly, looking around us now in all spheres of life, from missiles, navigation equipment, to the various instruments on the aircraft control from a computer network communications and data transmission, industrial automation to real-time process control and data processing, and our lives extensive use of the smart card, electronic pets, which is inseparable from the microcontroller. Monolithic single-chip is the set of CPU, RAM, ROM, the timing, number and variety of interface integrated microcontrollers. Its small size, low cost, high performance, which are widely used in smart industries, and industrial automation. And 51 Series SCM is the most typical and the most representative one. The graduation design Through the study, and thereby achieve the study, design, development hardware and software capabilities. Based on a microcontroller based on the will to achieve calendar of a multi-functional electronic clock design,Thereby achieve studying and understanding the relevant directives SCM in all aspects of the application. By main control AT89C51,clock circuit DS1302,display circuit,keystroke circuit and restore circuit componented, to achieve clock calendar display function can be carried out, hours seconds of the show and real-time temperature display. Keywords : Monolithic single-chip,lunar calendar demand, perpetual calendars 25

目录

第一章 引 言 ……………………………………………………………………………3 …………………………………………………………………4 第二章 设计方案论证 2.1 方案论证与设计 ……………………………………………………………………4 2.1.1 控制部分的方案选择 …………………………………………………………4 2.1.2 显示部分的方案选择……………………………………………………………4 2.1.3 系统基本方案选择和论证 ………………………………………………………4 2.2 单片机原理 ………………………………………………………………………5 2.3 LED 显示数码管 …………………………………………………………………5 ………………………………………………………………………6 第三章 计算部分 3.1 主要单元电路的器件 ……………………………………………………………6 3.1.1 单片机主

控制模块 ……………………………………………………………6 3.1.2 时钟电路模块 …………………………………………………………………7 3.2 其他模块器件 ………………………………………………………………………8 3.2.1 74LS164 …………………………………………………………………………8 3.2.2 CON8 ……………………………………………………………………………9 3.2.3 其他元器件 ……………………………………………………………………9 结构设计部分 …………………………………………………………………10 第四章 结构设计部分 4.1 显示部分设计 ………………………………………………………………………10 4.1.1 万年历优化算法 ………………………………………………………………11 4.2 实现时钟,日历显示设计 …………………………………………………………12 4.2.1 DS1302 的寄存器 …………………………………………………………… 12 4.2.2 DS1302 实时显示时间的软硬件 …………………………………………… 12 4.2.3 DS1302 与 CPU 的连接 ………………………………………………………12 4.3 整体设计 ……………………………………………………………………………13 4.4 系统软件设计 ………………………………………………………………………14 4.4.1 程序流程框图 …………………………………………………………………14 ……………………………………………………………18 第五章 实验测试部分 5.1 硬件测试 ……………………………………………………………………………18 5.2 软件测试………………………………………………………………………………18 5.3 测试结果分析与结论 ………………………………………………………………18 5.3.1 测试结果分析 ………………………………………………………………18 5.3.2 测试结论 ……………………………………………………………………19 ……………………………………………………………………………20 第六章 结论 参考文献 …………………………………………………………………………………20 附录一:系统程序清单 附录一:系统程序清单 …………………………………………………………………21 第七章 谢辞 ……………………………………………………………………………25

25

第一章 引言

随着微电子技术的高速发展, 单片机在国民经济的个人领域得到了广泛的运 用.单片机以体积小,功能全,性价比高等诸多优点,在工业控制,家用电器, 通信设备,信息处理,尖端武器等各种测控领域的应用中独占鳌头,单片机开发 技术已成为电子信息,电气,通信,自动化,机电一体化等专业技术人员必须掌 握的技术. 而电子万年历作为电子类小设计不仅是市场上的宠儿, 也是是单片机实验中一个 很常用的题目. 因为它的有很好的开放性和可发挥性, 因此对作者的要求比较高, 不仅考察了对单片机的掌握能力更加强调了对单片机扩展的应用. 而且在操作的 设计上要力求简洁,功能上尽量齐全,显示界面也要出色.数字显示的日历钟已 经越来越流行,特别是适合在家庭居室,办公室,大厅,会议室,车站和广场等 使用,壁挂式LED数码管显示的日历钟逐渐受到人们的欢迎.LED数字显示的日历 钟显示清晰直观,走时准确,可以进行夜视,并且还可以扩展出多种功能. 所 以,电子万年历无论作为比赛题目还是练习题目都是很有价值.

25

第二章 设计方案论证

2.1 方案论证与设计

2.1.1. 2.1.1.控制部分的方案选择 a)用可编程逻辑器件设计.可采用 ALTERA 公司的

FLEX10K 系列 PLD 器件.设计起来结构 清晰,各个模块,从硬件上设计起来相对简单,控制与显示的模块间的连接也会比较方便.但 是考虑到本设计的特点,EDA 在功能扩展上比较受局限,而且 EDA 占用的资源也相对多一些. 从成本上来讲,用可编程逻辑器件来设计也没有什么优势. b)用凌阳 16 位单片机设计.凌阳 16 位单片机有丰富的中断源和时基,方便本实验的设 计. 它的准确度相当高, 并且 C 语言和汇编兼容的编程环境也很方便来实现一些递归调用. I/O 口功能也比较强大,方便使用.用凌阳 16 位单片机做控制器最有特色的就是它的可编程音频 处理,可完成语音的录制播放和识别.这些都方便对设计进行扩展,使设计更加完善.成本也 相对低一些. 2.1 2.1.2 显示部分的方案选择 a)液晶显示方式.液晶显示效果出众,可以运用菜单项来方便操作,但是在显示时,特别是使 用秒表功能时扫描速度跟不上,屏幕会有明显的闪烁.而且由于 61 板的存储空间有限,液晶 显示就不能与语音播抱程序同时实现.这些大大影响了电子万年历的性能. b)相比液晶显示,采用 8 段数码管既经济实惠,在效果上也可以加入语音报时功能,操作比较 液晶显示来说虽然略显繁琐,但总体也还可以做到比较人性化.所以,最后选择 LED 数码管显 示方案. 2.1 2.1.3 系统基本方案选择和论证

1.单片机芯片的选择方案和论证: 方案一: 采用 89C51 芯片作为硬件核心,采用 Flash ROM,内部具有 4KB ROM 存储空间,能于 3V 的超低压工作,而且与 MCS-51 系列单片机完全兼容,但是运用于电路设计中时由于不具备 ISP 在线编程技术, 当在对电路进行调试时, 由于程序的错误修改或对程序的新增功能需要烧入程 序时,对芯片的多次拔插会对芯片造成一定的损坏. 方案二: 采用 AT89S52,片内 ROM 全都采用 Flash ROM;能以 3V 的超底压工作;同时也与 MCS-51 系列单片机完全该芯片内部存储器为 8KB ROM 存储空间,同样具有 89C51 的功能,且具有在 线编程可擦除技术, 当在对电路进行调试时, 由于程序的错误修改或对程序的新增功能需要烧 入程序时,不需要对芯片多次拔插,所以不会对芯片造成损坏. 所以选择采用 AT89S52 作为主控制系统. 2. 显示模块选择方案和论证: 方案一: 采用 LED 液晶显示屏,液晶显示屏的显示功能强大,可显示大量文字,图形,显示 多样,清晰可见,但是价格昂贵,需要的接口线多,所以在此设计中不采用 LED 液晶显示屏. 方案二:采用点阵式数码管显示,点阵式数码管是由八行八列的发光二极管组成,对于显 示文字比较适合,如采用在显示数字显得太浪费,且价格也相对较高,所以也不用此种作为显 示. 方案三:采用 LED 数码管动态扫描,LED 数码管价格适中,对于显示数字最合适,而且采用 动态扫描法与单片机连接时,占用的单片机口线少.

25

所以采用了 LED 数码管作为显示. 3.时钟芯片的选择方案和论证: 方案一:直接采用单片机定时计数器提供秒信号,使用程序实现年,月,日,星期,时, 分,秒计数.采用此种方案虽然减少芯片的使用,节约成本,但是,实现的时间误差较大.所 以不采用此方案. 方案二:采用 DS1302 时钟芯片实现时钟,DS1302 芯片是一种高性能的时钟芯片,可自动 对秒,分,时,日,周,月,年以及闰年补偿的年进行计数,而且精度高,位的 RAM 做为数据 暂存区,工作电压

2.5V~5.5V 范围内,2.5V 时耗电小于 300nA. 4. 电路设计最终方案决定 综上各方案所述,对此次作品的方案选定: 采用 AT89S52 作为主控制系统; DS1302 提供时 钟;数字式温度传感器;LED 数码管动态扫描作为显示.

2.2 单片机原理

单片机就是简化的微型计算机.CPU 中本身自带存储器 ROM 和 RAM.CPU 片内也有总线. IC(集成电路)技术是将电路通过特殊工艺做在一块硅基片上封装成芯片,比如 CPU,片外存 储器等等. 将单片机 CPU(比如 51 系列),晶振,存储器,地址锁存器,逻辑门,七段译码器(显示器), 按钮(类似键盘),扩展芯片,接口等通过 PCB 工艺(比如 SMT 贴片,或者插装)做在环氧树 脂板上.这样才是一个完整的单片(做在一块 PCB 板上)的微型计算机.

2.3 2.3 LED 显示数码管

常见的 LED 显示具有清晰明亮的特点. 是显示接口也是绝大多数单片机应用系统必备的部 件之一. 发光二极管组成的显示器是单片机应用产品中最常用的廉价输出设备. 它由若干个发光二 极管按一定的规律排列而成.当某一个发光二极管导通时,相应的一个点或一笔画被点亮,控 制不同组合的二极管导通,就能显出各种字符. 1. 显示器的结构 常用的 7 段显示器的结构如图所示, 发光二极管的阳极连在一起的称为共阳极显示器, 阴 极连在一起的成为共阴显示器. 位显示器由 8 个发光二极管组成, 1 其中 7 个发光二极管 a~g 控制 7 个笔画的亮或暗, 另一个控制一个小数点的亮和暗, 这种笔画的七段显示器能显示的字 符较少,字符的形状有些失真,但失控简单,使用方便.

25

第三章计算部分

3.1 主要单元电路的器件 主要单元电路的器件

3.1.1 3.1.1 单片机主控制模块 AT89S52 单片机为 40 引脚双列直插芯片,有四个 I/O 口 P0,P1,P2,P3, MCS-51 单片机共有 4 个 8 位的 I/O 口(P0,P1,P2,P3),每一条 I/O 线都能独立地作输出或输入.如图所示. 1.内部结构 按功能分为 8 部分:CUP,程序存储器,数据存储器,时钟电路,串行口,并行 I/O 口, 中断系统,定时/计数器. 2.引脚定义及功能 1).电源及时钟引脚 Vcc:接+5V 电源 Vss:接地 XTAL1 和 XTAL2:时钟引脚,外接晶体引线端.当使用芯片内部时钟时,此两引脚端用于 外接石英晶体和微调电容;当使用外部时钟时,用于接外部时钟脉冲信号. 2).控制引脚 RST/Vpq:RST 是复位信号输入端,Vpd 是备用电源输入端.当 RST 输入端保持 2 个机器周 期以上高电平时,单片机完成复位初始化操作. 当主电源 Vcc 发生故障而突然下降到一定低电压或断电时,第 2 功能 Vpd 将为片内 RAM 提供电源以保护片内 RAM 中的信息不丢失. ALE/PROG:地址锁存允许信号输入端.在存取外存储器时,用于锁存低 8 位地址信号.当 单片机正常工作后,ALE 端就周期性地以时钟振荡频率的 1/6 固定频率向外输出正脉冲信号. 此引脚的第 2 功能 PROG 是对片内带有 4K 字节 EPROM 的 8751 固外程序时,作为编程脉冲输入 端. PSEN:程序存储器允许输出端.当片外程序存储器的读选通信号,低电平有效.CPU 从外 部程序存储器取指令时,PSEN 信号会自动产生负脉冲,作为外部程序存储器的选通信号. EA/Vpp:程序存储器地址允许输入端.当 EA 为高电平时,CPU 执行片内程序存储器指令, 但当 PC 中的值超过 0FFFH 时,将自动转向执行片外程序存储器指令;当 EA 为低电平时,CPU 只执行片外程序存储器指令. 3).I/O 口引脚 P0.0~P0.7:P0 口 8 位双向 I/O 口; P1.0~P1.7:P1 口 8 位准双向 I/O 口; P2.0~P2.7:P2 口 8 位准双向 I/O 口; P3.0~P3.7:P3 口 8 位准双向 I/O 口. 3.片外总线结构 分为三部分:数据总线 Data Bus(DB),地址总线 Address Bus (AB),控制总线 Control Bus(CB). 25

AT89S52 3.1.2 3.1.2 时钟电路模块 1. DS1302 的结构及工作原理 DS1302 是美国 DALLAS 公司推出的一种高性能, 低功耗, RAM 的实时时钟电路, 带 它可以对年, 月,日,周日,时,分,秒进行计时,具有闰年补偿功能,工作电压为 2.5V~5.5V.采用三 线接口与 CPU 进行同步通信,并可采用突发方式一次传送多个字节的时钟信号或 RAM 数据. DS1302 内部有一个 31×8 的用于临时性存放数据的 RAM 寄存器. DS1302 是 DS1202 的升级产品, 与 DS1202 兼容, 但增加了主电源/后背电源双电源引脚, 同时提供了对后背电源进行涓细电流 充电的能力. 2. 引脚功能及结构 图 1 所示出 DS1302 的引脚排列,其中 Vcc1 为后备电源,VCC2 为主电源.在主电源关闭的情 况下,也能保持时钟的连续运行.DS1302 由 Vcc1 或 Vcc2 两者中的较大者供电.当 Vcc2 大 于 Vcc1+0.2V 时, Vcc2 给 DS1302 供电. Vcc2 小于 Vcc1 时, 当 DS1302 由 Vcc1 供电. 和 X1 X2 是振荡源,外接 32.768kHz 晶振.RST 是复位/片选线,通过把 RST 输入驱动置高电平来 启动所有的数据传送.RST 输入有两种功能:首先,RST 接通控制逻辑,允许地址/命令序列 送入移位寄存器;其次,RST 提供终止单字节或

多字节数据的传送手段.当 RST 为高电平时, 所有的数据传送被初始化,允许对 DS1302 进行操作.如果在传送过程中 RST 置为低电平,则 会终止此次数据传送,I/O 引脚变为高阻态.上电运行时,在 Vcc≥2.5V 之前,RST 必须保 持低电平. 只有在 SCLK 为低电平时, 才能将 RST 置为高电平. I/O 为串行数据输入输出端(双 向),后面有详细说明.SCLK 始终是输入端.

25

3. 数据输入输出(I/O) 在控制指令字输入后的下一个 SCLK 时钟的上升沿时, 数据被写入 DS1302, 数据输入从低位即 位 0 开始. 同样, 在紧跟 8 位的控制指令字后的下一个 SCLK 脉冲的下降沿读出 DS1302 的数 据,读出数据时从低位 0 位到高位 7.

其他模块 模块器件 3.2 其他模块器件

3.2.1 74LS LS164 3.2.1 74LS164 它是个串入并出的 8 位移位寄存器, 它常用于单片机系统中, 下面结束一下这个元件的基本知 识:

74LS164 引脚图

74LS164_内部功能图

25

74LS164_逻辑符合表

串行输入带锁存 时钟输入,串行输入带缓冲 异步清除 最高时钟频率可高达 36Mhz 功耗:10mW/bit 74 系列工作温度: 0°C to 70°C Vcc 最高电压:7V 输入最高电压:7V 高电平:-0.4mA 低电平:8mA 3.2.2 3.2.2 CON8 它是一个排插符号 , 也就是一个插座, 可以通过一个插头将 89C51 的 P0 口引到外面的有 关电路作扩展用,也可以作为备用. 3.2.3 3.2.3 其他元器件 电阻,电容,发光二极管,三极管若干.

25

第四章 结构设计部分

4.1 显示部分设计

基本显示原理:时钟开始显示为 0 时 0 分 0 秒,也就是数码管显示 000000,然后每秒秒 位加 1 ,到 9 后,10 秒位加 1,秒位回 0.10 秒位到 5 后,即 59 秒 ,分钟加 1,10 秒位回 0. 依次类推,时钟最大的显示值为 23 小时 59 分 59 秒.这里只要确定了 1 秒的定时时间, 其他 位均以此为基准往上累加. 同时时钟达到最大值的时候天数也增加一位,知道显示了 7 后再 变为 1,同时阴历和阳历的天数也加 1,根据不同的月份显示的天数阳历有 28,29,30,31 天,阴历就要经过计算得到,到了 12 个月后,年数自动增加 1,以此类推,显示万年历的现 实. 另外,连接方式总电路图上可以看到,这里就不做说明了,下图为显示部分的电路图.

显示部分电路图

25

4.1.1 万年历优化算法 1.阳历算法 阳历的算法比较简单,每十月的总的天数相对来说是固定的.只有 2 月份,在闰年是 29 天, 在非闰年是 28 天. 每个月的日历排法. 主要是确定每个月第一天是星期几. 我们知道 1901 年 1 月 1 日是星期二, 星期的变化是 7 天一个周期, 比如说要计算 1901 年 2 月 1 日是星期几, 可以这样推算: 1901 年 1 月 1 日到 1901 年 2 月 1 日总共经过了 31 天(从表 1 可 看出), 从 31 对 7 取模是 3:i901 年 1 月 1 日是星期二,加三后,是星期五.因此 1901 年 2 月 1 日是星期 五.同理,可以推算出从 1901—2100 年任何一天是星期几.

表1 月份 闰年 非闰年 1 31 31 2 29 28 3 31 31 4 30 30 5 31 31 6 30 30 7 31 31 8 31 31 9 30 30 10 31 31 11 30 30 12 31 31

2.阴历算法 阴历的算法比较复杂,它包含两个部分.一部分是阳历日和阴历日的对应关

系;另一部分 则是阳历日和农历节气的对应关系.下面只介绍与设计有关的阴历和阳历的关系. 表2

比特数 数据

25

0 !

1 !

2 !

3 !

4 !

5 1

6 !

7 !

8 !

9 1

10 !

11 1

12 x

13 x

14 x

15 x

我们先要做一个数据表, 这个数据表里面每 2 个字节, 表示 T 一个阴所年彝个月份的天教 2 个字节(共 16bit)的具体意义如表 2. 其中"!(0/1)中"0"表示 30 天,"1"表示 29 天."x x x x(4 个比特可表示数值范 围 0~15)"表示该年中是否有闰月,数值"0"表示无闰月," 1~12" 表示某一个闰月. 闰月一般是 29 天;在 200 年中(1901~2100 年),闰月是 30 天的,可用一个特殊语句来解决. 这里 2OO 年需要 200 x 2=400 个字节,构成阴历压缩数据表. 有了阴历的数据表后,主要是要确定阳历日和胡历日的对应关系.我们知道阳历年 1901 年 1 月 1 日,对应的阴历年是对应的阴历日,可用以下算法: (1)从阳历年 1901 年 1 月 1 日到 1901 年 2 月 1 日,计算出经过了 31 天; (2)根据阴历数据表知道阴历年 1900 年 11 月有 29 天,因此 31—29=2 天.原来阳历年 1901 年 1 月 1 日对应的阴历日是 11 日,则有 11+1=13; (3)因为阴历 1901 年 12 月份有 30 天,而 13<=30,所以阳历年 1901 年 2 月 1 日对应的阴历 年是 1900 年 12 月 13 日.如果上一步相加得出的散大于当前阴历月的总的天敢,别应该继续 减去当前阴历月的总的天数,直到符合条件. 对于月份增加时,还要通过数据表查看是否要 经过闰月. 对于其他任何一个阳历日和阴历日的对应关系,都可以通过以上算法求得结果.

实现时钟, 4.2 实现时钟,日历显示设计

DS1302 可以对年,月,日,周日,时,分,秒进行计时,且具有闰年补偿等多种功能. 4.2.1 DS1302 的寄存器 DS1302 有 12 个寄存器,其中有 7 个寄存器与日历,时钟相关,存放的数据位为 BCD 码 形式,其日历, 时间寄存器及其控制字见表 1.

25

此外,DS1302 还有年份寄存器,控制寄存器,充电寄存器,时钟突发寄存器及与 RAM 相 关的寄存器等. 时钟突发寄存器可一次性顺序读写除充电寄存器外的所有寄存器内容.DS1302 与 RAM 相关的寄存器分为两类:一类是单个 RAM 单元,共 31 个,每个单元组态为一个 8 位 的字节,其命令控制字为 C0H~FDH,其中奇数为读操作,偶数为写操作;另一类为突发方式 下的 RAM 寄存器,此方式下可一次性读写所有的 RAM 的 31 个字节,命令控制

字为 FEH(写), FFH(读). 4.2.2 DS1302 实时显示时间的软硬件 DS1302 与 CPU 的连接需要三条线,即 SCLK(7),I/O(6),RST(5).图 3 示出 DS1302 与 89C2051 的连接图,其中,时钟的显示用 LCD. 4.2.3 DS1302 与 CPU 的连接 实际上,在调试程序时可以不加电容器,只加一个 32.768kHz 的晶振即可.只是选择晶 振时,不同的晶振,误差也较大.另外,还可以在上面的电路中加入 DS18B20,同时显示实时 温度.只要占用 CPU 一个口线即可. LCD 还可以换成 LED,还可以使用北京卫信杰科技发展 有限公司生产的 10 位多功能 8 段液晶显 示模块 LCM101,内含看门狗(WDT)/时钟发生器及两种频率的蜂鸣器驱动电路,并有内置 显示 RAM,可显示任意字段笔划,具有 3-4 线串行接口,可与任何单片机,IC 接口.功耗低, 显示状态时电流为 2μA(典型值), 省电模式时小于 1μA, 工作电压为 2.4V~3.3V, 显示清晰.

4.3 整体设计

实现过程:由串行的时钟芯片 DS1302,送给单片机,单片机处理后输出.而 74LS164 将 串行信号变成并行信号,每个 164 对应 LED 七段码,三个 164 对应三行 LED 数码管.单片机

25

P2.6-P2.0 连接七个三极管作列驱动,共七列数码管,(实际有两行是六列)行列扫描共同形 成万年历. 其整个过程,如原理图所示.

4.4 系统软件设计

25

4.4.1 程序流程框图

25

图-C 时间调整程序流程图

25

25

实验测试 测试部分 第五章 实验测试部分

5.1 硬件测试

电子万年历的电路系统较大, 对于焊接方面更是不可轻视, 庞大的电路系统中只要出于

一 处的错误,则会对检测造成很大的不便,而且电路的交线较多,对于各种锋利的引脚要注意处 理,否则会刺被带有包皮的导线,则会对电路造成短路现象. 在本成电子万年历的设计调试中遇到了很多的问题. 回想这些问题只要认真多思考都是可 以避免的,以下为主要的问题:

(1)LED 数码管的断码错乱,原因出于没有认真看清 a,b ,c 等引脚信息. 解决:重新排列 74LS47 的输出端,相应接入 LED 数码管,即可解决出现在的断码或乱码. ( 2)对万年历修改时间或日期时,有时 LED 数码管被屏蔽掉,造成不亮现象. 解决: 根据仪器的测试, 发现电路的驱动能力不足, 最后在 DS1302 时钟芯片的/CS, SCLK, RET 端接入 5.1K 的上拉电阻后,电路的驱动能力才能满足,即可解决不亮现象.

5.2 软件测试

电子成年历是多功能的数字型,可以看当前日期(阴,阳历),时间,还有温度的仪器. 电子成年历功能很多,所以对于它的程序也较为复杂,所以在编写程序和调试时出现了相对较 多的问题.最后经过多次的模块子程序的修改,一步一步的完成,最终解决了软件.在软件的 调试过程中主要遇到的问题如下: 1.烧入程序后,LED 数码管显示闪动,而且亮度不均匀. 解决:首先对调用的延时进行逐渐修改,可以解决显示闪动问题.其次,由于本作品使作 动态扫描方式显示的数字,动态扫描很快,人的肉眼是无法看出,但是调用的显示程序时,如 果不在反回时屏蔽掉最后的附值,则会出现很亮的现象,所以在显示的后面加了屏蔽子令,最 后解决了此问题. 2.修改时间,日期时没有农历没有自动对应上. 解决: 把不相关的程序暂时屏蔽, 地农历的子程序独立调试, 发现在调用农历自动更新时, 对十进制和十六进制处理不好,所以

会造成错乱.最后把相应的十进制进行修改,使得可以与 十六进制对应,最后解决了此问题.

5.3 测试结果分析与结论

5.3.1 测试结果分析 (1).在测试中遇到发光二极管,LED 数码管为不显示时,首先使用试测仪对电路进行测 试,观察是否存在漏焊,虚焊,或者元件损坏. (2).LED 数码管显示不正常,还有亮度不够,首先使用试测仪对电路进行测试,观察电 路是否存在短路现象.查看烧写的程序是否正确无误,对程序进行认真修改. (3).DS1302 与微处理器进行数据交换时,首先由微处理器向电路发送命令字节,命令 字节最高位 MSB(D7)必须为逻辑 1,如果 D7=0,则禁止写 DS1302,即写保护;D6=0,指定时钟 数据,D6=1,指定 RAM 数据;D5~D1 指定输入或输出的特定寄存器;最低位 LSB(D0)为逻辑 0,指定写操作(输入), D0=1,指定读操作(输出). 在 DS1302 的时钟日历或 RAM 进行数据传送时,DS1302 必须首先发送命令字节.若进行 单字节传送,8 位命令字节传送结束之后,在下 2 个 SCLK周期的上升沿输入数据字节,或在 下 8 个 SCLK 周期的下降沿输出数据字节. DS1302 与 RAM 相关的寄存器分为两类:一类是单个 RAM 单元,共 31 个,每个单元组态

25

为一个 8 位的字节,其命令控制字为 C0H~FDH,其中奇数为读操作,偶数为写操作;再一类 为突发方式下的 RAM 寄存器,在此方式下可一次性读,写所有的 RAM 的 31 个字节. 要特别说明的是备用电源 B1,可以用电池或者超级电容器(0.1F 以上).虽然 DS1302 在 主电源掉电后的耗电很小,但是,如果要长时间保证时钟正常,最好选用小型充电电池.可以 用老式电脑主板上的 3.6V 充电电池.如果断电时间较短(几小时或几天)时,就可以用漏电较 小的普通电解电容器代替. 100 μF 就可以保证 1 小时的正常走时. DS1302 在第一次加电后, 必须进行初始化操作.初始化后就可以按正常方法调整时间. 5.3.2 测试结论 经过多次的反复测试与分析,可以对电路的原理及功能更加熟悉,同时提高了设计能力与 及对电路的分析能力.同时在软件的编程方面得到更到的提高,对编程能力得到加强.同时对所 学的知识得到很大的提高与巩固

25

第六章 结论

在整个设计过程中,充分发挥人的主观能动性,自主学习,学到了许多没学 到的知识,完成了对万年历的设计,达到了预期的目的.程序编写中,由于思路 不清晰,开始时遇到了很多的问题,经过静下心来思考,理清了思路,终于能够 完成它.在此次设计中,知道了做凡事要有一颗平常的心,不要想着走捷径,一 步一脚印.也练就了我们的耐心,做什么事都在有耐心.此次设计中学到了很多 很多东西,这是最重要的.

参考文献

1 刘勇 编 数字电路 电子工业出版社 2004 2 陈正振 编 电子电路设计与制作 广西交通职业技术学院信息工程系 2007 3 杨子文 编 单片机原理及应用 西安电子科技大学出版社 2006 4 王法能 编 单片机原理及应用 科学出版社 2004 5 曹琳琳.单片机原理及接口技术【M】.北京:国防科技大学出版社,2000 年. 6 许惠民.单片微型计算机原理,接口及应用 fM】. 北京:北京邮电大学出版社,2000 年. 7 康华光.电子技术基础【M】.北京:高等教育出版社,2001 年.

25

附录: 附录:系统程序清单

;******************************** ;* 万年历程序 *

;******************************** 67H(高两位年)66H(低两位年)65H(月)64H(星期);63H(日)62H(小时)61H(分)60H(秒)57H(农历月)56H(农历 日);显示缓冲单元 7CH~7BH(4CH~4BH)年低位,7AH~79H(4AH~49H)月;78H(48H)星期,77H~76H(47H~46H)日,

75H~74H(45H~44H) 时 ;73H72H(43H~42H) 分 , 71H~70H(41H~40H) 秒 , 5EH~5DH(55H~54H) 农 历 年;5CH~5BH(53H~52H)农历月,5AH~59H(51H~50H)农历日 ;闰年标志为 F0,定时器 T1 为调整时候闪烁用.

SCLK EQU IO RST YEAR WEEK DAY HOUR SECOND EQU EQU

P3.2 P3.3 P3.4

AJMP START ORG 0003H RETI ORG 000BH RETI ORG 0013H RETI ORG 001BH LJMP INTT1 ORG 0023H RETI ORG 002BH RETI

DATA 66H DATA 64H DATA 63H DATA 62H DATA 60H

MONTH DATA 65H

MINTUE DATA 61H DS1302_ADDR DATA 32H DS1302_DATA DATA 31H ORG 0000H

;******************************** 《 主程序 》 ;********************************

START: SETB EA MOV SCON,#00H MOV TMOD,#10H MOV TL1,#00H MOV TH1,#00H MOV DS1302_ADDR,#8EH MOV DS1302_DATA,#00H 25 ;允许写 1302 ;串行输出,方式 0 ;计数器 1,方式 1 LCALL WRITE MOV DS1302_ADDR,#90H MOV DS1302_DATA,#0A6H ;1302 充电,充电电流

1.1mA LCALL WRITE MAIN1: MOV DS1302_ADDR,#8DH LCALL READ ;READ OUT YEAR

MOV YEAR,DS1302_DATA MOV DS1302_ADDR,#8BH LCALL READ MOV WEEK,DS1302_DATA MOV DS1302_ADDR,#89H LCALL READ MOV MONTH,DS1302_DATA MOV DS1302_ADDR,#87H LCALL READ MOV DAY,DS1302_DATA MOV DS1302_ADDR,#85H LCALL READ MOV HOUR,DS1302_DATA MOV DS1302_ADDR,#83H LCALL READ MOV MINTUE,DS1302_DATA MOV DS1302_ADDR,#81H LCALL READ MOV SECOND,DS1302_DATA MOV R0,YEAR LCALL DIVIDE MOV 7BH,R1 MOV 4BH,R1 MOV 7CH,R2 MOV 4CH,R2 MOV 78H,WEEK MOV 48H,WEEK MOV R0,MONTH ;月分离,送显示缓存 LCALL DIVIDE MOV 79H,R1 MOV 49H,R1 MOV 7AH,R2 MOV 4AH,R2 SSS: ;年分离,送显示缓存 ;READ OUT SECOND ;READ OUT MINTUE ;READ OUT HOUR ;READ OUT DAY ;READ OUT MONTH ;READ OUT WEEK MOV R0,DAY LCALL DIVIDE MOV 76H,R1 MOV 46H,R1 MOV 77H,R2 MOV 47H,R2 MOV R0,HOUR LCALL DIVIDE MOV 74H,R1 MOV 44H,R1 MOV 75H,R2 MOV 45H,R2 MOV R0,MINTUE LCALL DIVIDE MOV 72H,R1 MOV 42H,R1 MOV 73H,R2 MOV 43H,R2 MOV R0,SECOND LCALL DIVIDE MOV 70H,R1 MOV 40H,R1 MOV 71H,R2 MOV 41H,R2 LCALL DISPLAY LCALL GENGXIN JNB P1.1,SETG JNB F0,SSS ;日分离,送显示缓存

;小时分离,送显示缓存

;分分离,送显示缓存

;秒分离,送显示缓存

;更新农历程序

CLR P1.3 ; 闰月,则 p1.3 清 0,指示灯亮 LJMP MAIN1 SETB P1.3 ;非闰月,则 p1.3 置位,指示灯灭 LJMP MAIN1

;******************************** 《 公历设置程序 》 ;********************************

SETG:

SETB TR1 SETB ET1 CLR 08H CLR 09H CLR 0AH CLR 0BH

CLR 0CH CLR 0DH CLR 0EH CLR 0FH MOV DS1302_ADDR,#8EH MOV DS1302_DATA,#00H ;允许写 1302

25

LCALL WRITE MOV DS1302_ADDR,#80H MOV DS1302_DATA,#80H LCALL WRITE GWAIT: SETG1: LCALL DISPLAY JNB P1.1,GWAIT LCALL DISPLAY JNB P1.1,SETG2 JNB P1.2,GADDYEAR AJMP

SETG1 GADDYEAR: MOV R7,66H LCALL ADD1 MOV 66H,A CJNE A,#51H,GADDYEAR1 MOV 66H,#01H GADDYEAR1: MOV DS1302_ADDR,#8CH MOV DS1302_DATA,66H LCALL WRITE MOV R0,66H LCALL DIVIDE MOV 4BH,R1 MOV 7BH,R1 MOV 4CH,R2 MOV 7CH,R2 WAITT1: LCALL DISPLAY JNB P1.2,WAITT1 AJMP SETG1 SETG2: GWAIT2: SETG3: SETB 0AH LCALL DISPLAY JNB P1.1,GWAIT2 LCALL DISPLAY JNB P1.1,SETG4 JNB P1.2,GADDMONTH AJMP SETG3 GADDMONTH: MOV R7,65H LCALL ADD1 MOV 65H,A CJNE A,#13H,GADDMONTH1 MOV 65H,#01H GADDMONTH1:MOV DS1302_ADDR,#88H MOV DS1302_DATA,65H LCALL WRITE MOV R0,65H LCALL DIVIDE ;月分离送显示缓冲 ;月值送 1302 ;月加 1 SETG7: SETG6: GWAIT6: ;调月时闪标志 WAITT3: ;年分离送显示缓冲 ;年值送 1302 ;年加 1 SETG5: SETG4: GWAIT4: WAITT2: ;1302 停止振荡 MOV 79H,R1 MOV 49H,R1 MOV 7AH,R2 MOV 4AH,R2 LCALL DISPLAY JNB P1.2,WAITT2 AJMP SETG3 SETB 0BH LCALL DISPLAY JNB P1.1,GWAIT4 LCALL DISPLAY JNB P1.1,SETG6 JNB P1.2,GADDDAY AJMP SETG5 GADDDAY: MOV R7,63H LCALL ADD1 MOV 63H,A CJNE A,#32H,GADDDAY1 MOV 63H,#01H GADDDAY1: MOV DS1302_ADDR,#86H MOV DS1302_DATA,63H LCALL WRITE MOV R0,63H LCALL DIVIDE MOV 76H,R1 MOV 46H,R1 MOV 77H,R2 MOV 47H,R2 LCALL DISPLAY JNB P1.2,WAITT3 AJMP SETG5 SETB 0CH ;调星期时闪标志 LCALL DISPLAY JNB P1.1,GWAIT6 LCALL DISPLAY JNB P1.1,SETG8 JNB P1.2,GADDWEEK AJMP SETG7 GADDWEEK: MOV R7,64H LCALL ADD1 MOV 64H,A CJNE A,#08H,GADDWEEK1 MOV 64H,#01H GADDWEEK1: MOV DS1302_ADDR,#8AH ;星期值送 1302 ;星期加 1 ;日分离送显示缓冲 ;日值送 1302 ;日加 1 ;调日时闪标志 25

MOV DS1302_DATA,64H LCALL WRITE MOV R0,64H LCALL DIVIDE ;星期分离送显示缓冲 MOV 48H,R1 MOV 78H,R1 WAITT4: LCALL DISPLAY JNB P1.2,WAITT4 AJMP SETG7 SETG8: GWAIT8: SETG9: SETB 0DH ;调小时时闪标志 WAITT6: LCALL DISPLAY JNB P1.1,GWAIT8 LCALL DISPLAY JNB P1.1,SETG10 JNB P1.2,GADDHOUR AJMP SETG9 GADDHOUR: MOV R7,62H LCALL ADD1 MOV 62H,A CJNE A,#24H,GADDHOUR1 MOV 62H,#00H GADDHOUR1: MOV DS1302_ADDR,#84H MOV DS1302_DATA,62H LCALL WRITE MOV R0,62H LCALL DIVIDE MOV 74H,R1 MOV 44H,R1 MOV 75H,R2 MOV 45H,R2 WAITT5: LCALL DISPLAY JNB P1.2,WAITT5 AJMP SETG9 SETG10: GWAIT10: SETG11: SETB 0EH LCALL DISPLAY JNB P1.1,GWAIT10 LCALL DISPLAY JNB P1.1,SETGOUT JNB P1.2,GADDMINTUE AJMP SETG11 GADDMINTUE:MOV R7,61H LCALL ADD1 MOV 61H,A CJNE A,#60H,GADDMINTUE1 ;分加 1 ;调分时闪标志 ;小时分离送显示缓冲 ;小时值送 1302 ;小时加 1 GADDMINTUE1:

MOV 61H,#00H MOV DS1302_ADDR,#82H ;分值送 1302 MOV DS1302_DATA,61H LCALL WRITE MOV R0,61H LCALL DIVIDE MOV 72H,R1 MOV 42H,R1 MOV 73H,R2 MOV 43H,R2 LCALL DISPLAY JNB P1.2,WAITT6 AJMP SETG11 SETGOUT: LCALL DISPLAY JNB P1.1,SETGOUT LCALL GENGXIN MOV DS1302_ADDR,#80H MOV DS1302_DATA,#00H ;1302 晶振开始振荡 LCALL WRITE MOV DS1302_ADDR,#8EH MOV DS1302_DATA,#80H ;禁止写入 1302 LCALL WRITE CLR 08H CLR 09H CLR 0AH CLR 0BH CLR 0CH CLR 0D ;分分离送显示缓冲

25

致谢

毕业设计的完成,为我的大学学习生活画下了最后一笔. 应该感谢的人很多,首先感谢学院给我们提供了一个展现自己的舞台,给我 们一次难得煅炼的机会,使得我们的动手能力和专业技能都有了很大的提高. 在做论文设计的日子里得到了指导教师的悉心指导, 在此向我们的指导教师 致以诚挚的谢意.感谢提供相关技术帮助的老师和同学,你们的支持和鼓励使我 们对这次的作品完成有了信心和动力,也给了我们很多无私的帮助和支持,我们 在此深表谢意.

25 1

更多相关推荐:
电子万年历设计开题报告

毕业设计论文开题报告学生姓名专业设计论文题目指导教师20xx年3月16日学号电子信息工程基于单片机的万年历设计毕业设计论文开题报告毕业设计论文开题报告毕业设计论文开题报告毕业设计论文开题报告毕业设计论文开题报告...

电子万年历开题报告

毕业设计论文开题报告系别高职部专业电气技术学生姓名王瑜指导教师李杰20xx年6月4日电子万年历设计开题报告填写要求1开题报告作为毕业设计论文答辩委员会对学生答辩资格审查的依据材料之一应在指导教师指导下由学生在毕...

万年历 开题报告

xxxxx毕业论文设计开题报告题目基于89S51万年历设计学院信息工程学院年级xxx级专业电子信息工程姓名xxxx学号xxxxxxxx指导教师xxxx教务处制表20xx年12月10日注1表格不够可加附页2审核小...

基于单片机的万年历毕业设计开题报告

毕业设计论文开题报告题目基于单片机控制的电子万年历的设计学生姓名叶庆学号08820xx40系别信息工程系专业自动化届别20xx届指导教师李军华填表日期20xx年5月20日

(多功能电子万年历开题报告)

西北民族大学毕业论文设计开题报告论文设计名称多功能电子万年历论文设计起止时间月日姓名丁永亮学号学院电气工程学院专业班级09自动化2班指导教师王涛说明1本报告必须由承担毕业论文设计课程任务的学生在正式开始做论文设...

电子万年历毕业设计开题报告

毕业设计开题报告理工类

单片机万年历开题报告

XX大学本科生毕业设计论文开题报告

开题报告万年历

四川科技职业学院毕业设计论文四川科技职业学院毕业设计论文开题报告授人以渔能力为本四川科技职业学院毕业设计论文授人以渔能力为本

基于单片机的万年历的设计与制作开题报告

xx学院本科生毕业论文设计开题报告20xx届学生姓名院部专业指导教师签名教研室主任签名20xx年12月本表一式四份本人指导教师院部各一份一份同毕业论文一起装订第1页第2页第3页

基于时钟芯片DS1302万年历系统的设计开题报告

毕业设计论文开题报告学生姓名专业设计论文题目指导教师学号电子科学与技术基于芯片DS1302万年历系统的设计20xx年3月24日开题报告填写要求1开题报告含文献综述作为毕业设计论文答辩委员会对学生答辩资格审查的依...

52单片机的万年历的设计开题报告

XXX大学毕业设计论文开题报告题目基于52单片机电子万年历设计院系部机械与电子工程系专业及班级姓名指导教师日期20xx315XXX大学毕业设计论文开题报告23456

毕业设计开题报告 基于pic单片机的电子万年历设计

南京理工大学毕业设计论文开题报告学生姓名专业设计论文题目指导教师学号电子信息工程基于单片机的电子万年历设计20xx年3月7日毕业设计论文开题报告毕业设计论文开题报告毕业设计论文开题报告

电子万年历开题报告(26篇)