基于EDA的自动售货机系统的设计 开题报告

时间:2024.4.7

毕业设计开题报告

 

 

 

 

课题名称:基于EDA的自动售货机系统的设计

学院:    电子电气工程学院

专业:    电子信息工程

 

一 自动售货机设计简介

自动售货是20世纪70年代在日本、欧美发展起来的一种全新的消费方式,自动售货机又被称为24小时营业的微型自助超市,它的售货领域非常广泛,是发达国家商品零售的一种主要方式。自动售货机作为一种先进的消费方式,已经成为城市现代文明发达程度的重要标志,其新颖、时尚的购物方式,24小时营业的特点给人们生活带来了便利,美化了城市环境,受到消费者的欢迎。但是在我国自动售货机的普及还不及发达国家,主要原因是我国EDA技术的应用水平长期落后于发达国家。

本设计要实现自动售货机的基本功能,如投币处理、商品选择、购货撤销、异常退币、计算存货等功能。利用Altera公司的开发软件QUARTUS II平台,采用Verilog hdl硬件描述语言编程的设计方法设计系统核心电路的硬件程序,与一般的软件开发不同,Verilog hdl编程更需要熟悉一些底层的硬件知识,特别是数字逻辑电路的设计,因此Verilog hdl编程的方法、思路都要更多的与硬件电路联系起来。完成系统各个功能模块的硬件程序设计后,在软件平台上进行编译和电路仿真,最后生成的目标文件下载到实验台内的FPGA芯片以实现该系统,并在实验台上对设计进行验证。

(1)   自动售货机主要功能

自动售货机控制要求对机内商品信息进行识别,对投入的货币进行运算,并根据所投入的货币数值判断是否能够购买某种商品,并做出相应的反应。本文设计的自动售货机控制系统主要可以实现投币处理、计算投币总额、输出商品,输出找零等功能,系统工作流程如图1 所示。

(2) 自动售货机的几个过程

在自动售货机控制系统的工作过程中,可分为三个状态:初始状态、投币状态和找零状态。在每一个状态中完成特定的功能。

首先,在初始状态下,自动售货机通电,初始化机内商品信息(包括商品种类、每种商品的数量以及价格)。当顾客购买商品时,可根据自己需要通过按键选择其中一种商品(且只限一种),然后进入下一状态,即投币状态。

在投币状态下,当投入硬币总额大于或等于商品价格,并且按确认购买键后将进入找零状态;若按取消键,售货机退出所投硬币,返回到初始状态。

投币结束,若投入的硬币等于或大于商品单价,且确认购买,则商品将送出送货口,并计算输出找零硬币。出货找零结束时表示一次自动售货过程完成,重新返回到初始状态,计算此时商品信息,并等待下一次售货过程的到来。

(3) 自动售货机的基本模块

自动售货机控制系统的功能模块逻辑上划分为商品信息存储模块、商品选择模块、投币处理模块,找零模块和显示模块。

1   商品信息存储模块

该模块的功能是将商品的单价、数量存储到自动售货机中,price、quantity 分别表示商品的单价与数量数据信号,item 为商品的种类。

2   商品选择模块

该模块功能是对商品进行选择与购买。当对四种商品进行选择时,由sel 的选择信号的个数来表示所选择的商品类型。get 为对商品进行确认购买的信号。

3   投币处理模块

该模块是对投入的硬币进行处理的过程,输出参数coin 为投币数值计数器,以0.5 元为单位进行计算。整个模块功能类似于计钱器,可准确计算投入的硬币数,记录并保存投入的总币值。

4   找零模块

该模块主要完成找零操作。通过计钱器coin 计算余额,然后输出找零的控制信号act5、act10。

5   显示模块

该模块用来显示钱数和商品数量。用于钱数显示时,将计钱器coin转换成BCD 码,然后经译码器译码并显示。用于商品数量显示时,将计算得出的最终商品数量quantity 先转换成BCD 码,然后经译码器译码并显示。

 国内外EDA技术发展简介

1    EDA概述

人类社会已进入到高度发达的信息化社会,信息社会的发展离不开电子产品的进步。现代电子产品在性能提高、复杂度增大的同时,价格却一直呈下降趋势,而且产品更新换代的步伐也越来越快,实现这种进步的主要原因就是生产制造技术和电子设计技术的发展。前者以微细加工技术为代表,目前已进展到深亚微米阶段,可以在几平方厘米的芯片上集成数千万个晶体管;后者的核心就是EDA技术。EDA是指以计算机为工作平台,融合了应用电子技术、计算机技术、智能化技术最新成果而研制成的电子CAD通用软件包,主要能辅助进行三方面的设计工作:IC设计,电子电路设计以及PCB设计。没有EDA技术的支持,想要完成上述超大规模集成电路的设计制造是不可想象的,反过来,生产制造技术的不断进步又必将对EDA技术提出新的要求。

2   EDA技术简介

EDA(Electronic Design Automation),即电子设计自动化,是指利用计算机完成电子系统的设计。EDA技术是以计算机和微电子技术为先导的,汇集了计算机图形学、拓扑学、逻辑学、微电子工艺与结构学和计算数学等多种计算机应用学科最新成果的先进技术。EDA技术以计算机为工具,代替人完成数字系统的逻辑综合、布局布线和设计仿真等工作。设计人员只需要完成对系统功能的描述,就可以由计算机软件进行处理,得到设计结果,而且修改设计如同修改软件一样方便,可以极大地提高设计效率。

2.1  EDA技术的发展概况

从20世纪60年代中期开始,人们就不断开发出各种计算机辅助设计工具来帮助设计人员进行电子系统的设计。电路理论和半导体工艺水平的提高,对EDA技术的发展起到了巨大的作用,使EDA作用范围从PCB板设计延伸到电子线路和集成电路设计,直至整个系统的设计,也使IC芯片系统应用、电路制作和整个电子生产过程都集成在一个环境之中。根据电子设计技术的发展特征,EDA技术发展大致分为三个阶段。

(1)CAD阶段

第一阶段的特点是一些单独的工具软件,主要有PCB布线设计、电路模拟、逻辑模拟、以及版图的绘制等,通过计算机的使用,从而将设计人员从大量繁重重复的计算和绘图工作中解脱出来。20世纪80年代,随着集成电路规模的增大,EDA技术有了较快的发展。许多软件公司等进入市场,开始供应带电路图编辑工具和逻辑模拟工具的EDA软件。这个时期的软件产品主要针对产品开发,按照设计、分析、生产和测试等多个阶段,不同阶段分别使用不同的软件包,每个软件只能完成其中一项工作,通过顺序循环使用这些软件,可完成设计的全过程。

(2)CAE阶段

这个阶段在集成电路与电子设计方法学以及设计工具集成化方面取得了许多成果。各种设计工具,如原理图输入、编译与连接、逻辑模拟、测试码生成、版图自动布局已齐全。由于采用了统一数据管理技术,因而能够将各个工具集成为一个CAE系统。按照设计方法学制定的设计流程,可以实现从设计输入到版图输出的全程设计自动化。这个阶段主要采用基于单元库的半定制设计方法,采用门阵列与标准单元设计的各种ASIC得到了极大的发展,将集成电路工业推入了ASIC时代。

(3)EDA阶段

20世纪90年代以来,微电子技术以惊人的速度发展,其工艺水平达到了深亚微米级,此阶段主要出现了以高级语言描述、系统仿真和综合技术为特征的第三代EDA技术,不仅极大地提高了系统的设计效率,而且使设计人员摆脱了大量的辅助性及基础性工作,将精力集中于创造性的方案与概念的构思上。它的特征为:第一,高层综合的理论与方法取得较大进展,将EDA设计层次由RT级提高到了系统级(又称行为级),并划分为逻辑综合和测试综合。第二,采用硬件描述语言HDL来描述10万门以上的设计,并形成了VHDL和VerilogHDL两种标准硬件描述语言。第三,采用平面规划技术对逻辑综合和物理版图设计进行联合管理,作到在逻辑综合早期设计阶段就考虑到物理设计信息的影响。第四,可测性设计。第五,为带有嵌入IP模块ASIC设计提供软硬件协同系统设计工具。

2.2 EDA技术的基本特征

EDA技术代表了当今电子设计技术的最新发展方向,它的基本特征是:设计人员按照“自顶向下”的设计方法,对整个系统进行方案设计和功能划分,系统的关键电路用一片或几片专用集成电路(ASIC)实现,然后采用硬件描述语言(HDL)完成系统行为级设计,最后通过综合器和适配器生成最终的目标器件[3]。这样的设计方法被称为高层次的电子设计方法。下面介绍与EDA基本特征有关的几个概念。

(1) “自顶向下”的设计方法

10年前,电子设计的基本思路还是选择标准集成电路“自底向上”(Bottom-Up)的构造出一个新的系统,这样的设计方法就如同一砖一瓦地建造金字塔,不仅效率低、成本高而且还容易出错。

(2)   高层次设计

高层次设计提供了一种“自顶向下”(Top-Down)的全新的设计方法,这种设计方法首先从系统设计入手,在顶层进行功能方框图的划分和结构设计。在方框图一级进行仿真、纠错,并用硬件描述语言对高层次的系统行为进行描述,在系统一级进行验证。然后用综合优化工具生成具体门电路的网表,其对应的物理实现级可以是印刷电路板或专用集成电路。由于设计的主要仿真和调试过程是在高层次上完成的,这不仅有利于早期发现结构设计上的错误,避免设计工作的浪费,而且也减少了逻辑功能仿真的工作量,提高了设计的一次成功率。

(3)  硬件描述语言

硬件描述语言(HDL-Hardware Description Language)是一种用于设计硬件电子系统的计算机语言,它用软件编程的方式来描述电子系统的逻辑功能、电路结构和连接形式,与传统的门级描述方式相比,它更适合大规模系统的设计。

本次设计使用VERILOG HDL语言,Verilog HDL语言最初是于1983年由Gateway Design Automation公司为其模拟器产品开发的硬件建模语言。那时它只是一种专用语言。由于他们的模拟、仿真器产品的广泛使用,Verilog HDL 作为一种便于使用且实用的语言逐渐为众多设计者所接受。在一次努力增加语言普及性的活动中,Verilog HDL语言于1990年被推向公众领域。 Open Verilog International (OVI)是促进Verilog发展的国际性组织。1992年, OVI决定致力于推广Verilog OVI标准成为IEEE标准。这一努力最后获得成功,Verilog 语言于1995年成为IEEE标准,称为IEEE Std 1364-1995。完整的标准在Verilog硬件描述语言参考手册中有详细描述。

下面列出的是Verilog硬件描述语言的主要能力:

* 基本逻辑门,例如and、or和nand等都内置在语言中。

* 用户定义原语(UDP)创建的灵活性。用户定义的原语既可以是组合逻辑原语,也可以是时序逻辑原语。

* 开关级基本结构模型,例如pmos 和nmos等也被内置在语言中。

* 提供显式语言结构指定设计中的端口到端口的时延及路径时延和设计的时序检查。

* 可采用三种不同方式或混合方式对设计建模。这些方式包括:行为描述方式—使用过程化结构建模;数据流方式—使用连续赋值语句方式建模;结构化方式—使用门和模块实例语句描述建模。

* Verilog HDL中有两类数据类型:线网数据类型和寄存器数据类型。线网类型表示构件间的物理连线,而寄存器类型表示抽象的数据存储元件。

* 能够描述层次设计,可使用模块实例结构描述任何层次。

* 设计的规模可以是任意的;语言不对设计的规模(大小)施加任何限制。

* Verilog HDL不再是某些公司的专有语言而是IEEE标准。

* 人和机器都可阅读Verilog 语言,因此它可作为EDA的工具和设计者之间的交互语言。

* Verilog HDL语言的描述能力能够通过使用编程语言接口(PLI)机制进一步扩展。PLI是允许外部函数访问Verilog 模块内信息、允许设计者与模拟器交互的例程集合。

* 设计能够在多个层次上加以描述,从开关级、门级、寄存器传送级(RTL)到算法级,包括进程和队列级。

* 能够使用内置开关级原语在开关级对设计完整建模。

* 同一语言可用于生成模拟激励和指定测试的验证约束条件,例如输入值的指定。

* Verilog HDL 能够监控模拟验证的执行,即模拟验证执行过程中设计的值能够被监控和显示。这些值也能够用于与期望值比较,在不匹配的情况下,打印报告消息。

* 在行为级描述中,Verilog HDL不仅能够在RTL级上进行设计描述,而且能够在体系结构级描述及其算法级行为上进行设计描述。

* 能够使用门和模块实例化语句在结构级进行结构描述。

* Verilog HDL 的混合方式建模能力,即在一个设计中每个模块均可以在不同设计层次上建模。

* Verilog HDL 还具有内置逻辑函数,例如&(按位与)和|(按位或)。

* 对高级编程语言结构,例如条件语句、情况语句和循环语句,语言中都可以使用。

* 可以显式地对并发和定时进行建模。

* 提供强有力的文件读写能力。

* 语言在特定情况下是非确定性的,即在不同的模拟器上模型可以产生不同的结果;例如,事件队列上的事件顺序在标准中没有定义。

  本次毕业设计主要内容

  进度安排

五 主要参考文献


第二篇:EDA自动售货机设计


摘 要

人类社会已进入到高度发达的信息化社会,信息社会的发展离不开电子产品的进步。现代电子产品在性能提高、复杂度增大的同时,价格却一直呈下降趋势,而且产品更新换代的步伐也越来越快,实现这种进步的主要原因就是生产制造技术和电子设计技术的发展。

自动售货是20世纪70年代在日本、欧美发展起来的一种全新的消费方式,自动售货机又被称为24小时营业的微型自助超市,它的售货领域非常广泛,是发达国家商品零售的一种主要方式。本设计要实现自动售货机的基本功能,如投币处理、商品选择、购货撤销、异常退币、计算存货等功能。采用VHDL硬件描述语言编程的设计方法设计系统核心电路的硬件程序,与一般的软件开发不同,VHDL编程更需要熟悉一些底层的硬件知识,特别是数字逻辑电路的设计,因此VHDL编程的方法、思路都要更多的与硬件电路联系起来。完成系统各个功能模块的硬件程序设计后,在软件平台上进行编译和电路仿真,最后生成的目标文件下载到实验台内的FPGA芯片以实现该系统,并在实验台上对设计进行验证。

关键字:自动售货机 ;VHDL编程;编译;电路仿真

Abstract

Human society has entered into a highly developed information community, social development of information on electronic products progress. the modern electronic products in the performance, the increased complexity of the same time, the price has been a downward trend, and the pace of product faster and faster, the realization of this progress is that of manufacturing technology and electronic design of technology.

The coin is in the 70s of 20th century in japan, and develop a new and vending machine is called open 24 hours in the cafeteria, it is very widespread area of sale in retail in the developed countries, is a major way. this is designed to enable automatic machines in the basic functionality, such as a coin, choose and purchases of goods, money is retired, stock and functions. Complete system of the various functional modules of hardware, software design process in the compilation and circuit emulation, the target file download to the test stand in the chip to implement the system fpga, and on the stage of design validation.

Keywords: Vending machine;Vhdl programming;Compilation;Circuit simulation

- 1 -

目 录

引言 ........................................................... - 3 - 1 EDA技术简介 .................................................. - 4 -

1.1 EDA技术的发展概况 ...................................... - 4 -

1.2 EDA技术的基本特征 ...................................... - 4 -

1.2.1“自顶向下”的设计方法 ............................. - 4 -

1.2.2高层次设计 ......................................... - 4 - 2工作原理 ...................................................... - 5 -

2. 1任务概述 ............................................... - 5 -

2.2系统设计 - 5 -

2.3系统组成方框图 .......................................... - 5 -

2.4工作过程 ................................................ - 6 - 3设计方案 ...................................................... - 6 -

3.1自动售货机VHDL程序 ..................................... - 6 -

3.2各功能部件系统仿真图 ................................... - 10 - 结 论 ........................................................ - 13 - 参考文献 ...................................................... - 14 -

- 2 -

引言

自动售货机能够根据投入钱币自动收货的机器,是商业自动化的常用设备。不受时间、地点的限制,能节省人力物力。现代售货机的功能、结构根据商品的种类而异。货币装置时售货机的核心,其主要功能是确认投入币数及面值,计算余额,找兑余额。因此,自动售货机在商业,食品业越来越普及,更方便消费者购买自己所需的商品。使用方便、可靠、节省人力的自动售货机将在社会生活中成为一种趋势。

- 3 -

基于EDA的自动售货机的控制系统

1 EDA技术简介

EDA(Electronic Design Automation),即电子设计自动化,是指利用计算机完成电子系统的设计。EDA技术是以计算机和微电子技术为先导的,汇集了计算机图形学、拓扑学、逻辑学、微电子工艺与结构学和计算数学等多种计算机应用学科最新成果的先进技术。EDA技术以计算机为工具,代替人完成数字系统的逻辑综合、布局布线和设计仿真等工作。设计人员只需要完成对系统功能的描述,就可以由计算机软件进行处理,得到设计结果,而且修改设计如同修改软件一样方便,可以极大地提高设计效率。 [1]

1.1 EDA技术的发展概况

从20世纪60年代中期开始,人们就不断开发出各种计算机辅助设计工具来帮助设计人员进行电子系统的设计。电路理论和半导体工艺水平的提高,对EDA技术的发展起到了巨大的作用,使EDA作用范围从PCB板设计延伸到电子线路和集成电路设计,直至整个系统的设计,也使IC芯片系统应用、电路制作和整个电子生产过程都集成在一个环境之中。根据电子设计技术的发展特征,EDA技术发展大致分为三个阶段[2]。

1.2 EDA技术的基本特征

EDA技术代表了当今电子设计技术的最新发展方向,它的基本特征是:设计人员按照“自顶向下”的设计方法,对整个系统进行方案设计和功能划分,系统的关键电路用一片或几片专用集成电路(ASIC)实现,然后采用硬件描述语言(HDL)完成系统行为级设计,最后通过综合器和适配器生成最终的目标器件[3]。这样的设计方法被称为高层次的电子设计方法。下面介绍与EDA基本特征有关的几个概念。

1.2.1“自顶向下”的设计方法

10年前,电子设计的基本思路还是选择标准集成电路“自底向上”(Bottom-Up)地构 造出一个新的系统,这样的设计方法就如同一砖一瓦地建造金字塔,不仅效率低、成本高而且还容易出错。

1.2.2高层次设计

高层次设计提供了一种“自顶向下”(Top-Down)的全新的设计方法,这种设计 - 4 -

方法首先从系统设计入手,在顶层进行功能方框图的划分和结构设计。在方框图一级进行仿真、纠错,并用硬件描述语言对高层次的系统行为进行描述,在系统一级进行验证。然后用综合优化工具生成具体门电路的网表,其对应的物理实现级可以是印刷电路板或专用集成电路。由于设计的主要仿真和调试过程是在高层次上完成的,这不仅有利于早期发现结构设计上的错误,避免设计工作的浪费,而且也减少了逻辑功能仿真的工作量,提高了设计的一次成功率。

2工作原理

2. 1任务概述

自动售货是20世纪70年代在日本、欧美发展起来的一种全新的消费方式,自动售货机又被称为24小时营业的微型自助超市,它的售货领域非常广泛,是发达国家商品零售的一种主要方式。自动售货机作为一种先进的消费方式,已经成为城市现代文明发达程度的重要标志,其新颖、时尚的购物方式,24小时营业的特点给人们生活带来了便利,美化了城市环境,受到消费者的欢迎。但是在我国自动售货机的普及还不及发达国家,主要原因是我国EDA技术的应用水平长期落后于发达国家。本设计要实现自动售货机的基本功能,可以实现投币处理、计算投币总额、输出商品、输出找零的控制。

2.2系统设计 设计一台自动售货机,要求具备货物信息存储、进程控制、硬币处理、余额计算、自动找零、状态显示等功能。该系统能完成货物信息存储,进程控制,硬币处理,余额计算,显示等功能。该系统可以管理四种货物,每种的数量和单价在初始化时输入,在存储器中存储。用户可以用硬币进行购物,按键进行选择。系统根据用户输入的货币,判断钱币是否够,钱币足够则根据顾客的要求自动售货,钱币不足则给出提示并退出。系统自动的计算出应找钱币余额、库存数量并显示。

2.3系统组成方框图

系统按功能分为:分频模块;控制模块;译码模块;译码显示模块。

- 5 -

系统组成方框图

2.4工作过程

首先由售货员把自动售货机里的每种商品的数量和单价通过set键和sel键置入到RAM里。然后顾客通过sel键对所需要购买的商品进行选择,选定以后通过get键进行购买,再按finish键取回找币,同时结束此次交易。按get键时,如果投的钱数等于或则大于所购买的商品单价,则自动售货机会给出所购买的商品;如果投的钱数不够,自动售货机不做响应,继续等待顾客的下次操作。顾客的下次操作可以继续投币,直到钱数到达所要的商品单价进行购买;也可以直接按finish键退币。

3设计方案

3.1自动售货机VHDL程序

--文件名:AUTO.vhd。

--功能:硬币处理,余额计算,输出商品。

--说明:显示的钱数coin的 以5角为单位。

library ieee;

use ieee.std_logic_arith.all;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

entity AUTO is

port ( clk:in std_logic; --系统时钟

- 6 -

EDA自动售货机设计

set,get,sel,finish: in std_logic; --设定、买、选择、完成信号 coin0,coin1: in std_logic; --5角硬币、1元硬币

price,quantity :in std_logic_vector(3 downto 0); --价格、数量数据 item0 , act:out std_logic_vector(3 downto 0); --显示、开关信号 y0,y1 :out std_logic_vector(6 downto 0); --钱数、商品数量显示数据 act10,act5 :out std_logic); --1元硬币、5角硬币 end AUTO;

architecture behav of AUTO is

type ram_type is array(3 downto 0)of std_logic_vector(7 downto 0); signal ram :ram_type; --定义RAM

signal item: std_logic_vector(1 downto 0); --商品种类

signal coin: std_logic_vector(3 downto 0); --币数计数器

signal pri,qua:std_logic_vector(3 downto 0); --商品单价、数量 signal clk1: std_logic; --控制系统的时钟信号 begin

com:process(set,clk1)

variable quan:std_logic_vector(3 downto 0);

begin

if set='1' then ram(conv_integer(item))<=price & quantity;act<="0000";

--把商品的单价、数量置入到RAM elsif clk1'event and clk1='1' then act5<='0'; act10<='0';

if coin0='1' then

if coin<"1001"then coin<=coin+1; --投入5角硬币,coin自加1 else coin<="0000";

end if;

elsif coin1='1' then

if coin<"1001"then coin<=coin+2; --投入1元硬币,coin自加2 else coin<="0000";

end if;

elsif sel=‘1’ then item<=item+1; --对商品进行循环选择 elsif get='1' then --对商品进行购买

if qua>"0000" and coin>=pri then coin<=coin-pri;quan:=quan-1;

- 7 -

ram(conv_integer(item))<=pri & quan;

if item="00" then act<="1000";

--购买时,自动售货机对4种商品的操作

elsif item="01" then act<="0100";

elsif item="10" then act<="0010";

elsif item="11" then act<="0001";

end if;

end if;

elsif finish='1' then --结束交易,退币(找币) if coin>“0001” then act10<=‘1’;coin<=coin-2;

--此IF语句完成找币操作 elsif coin>"0000" then act5<='1'; coin<=coin-1;

else act5<='0'; act10<='0';

end if;

elsif get='0' then act<="0000";

for i in 4 to 7 loop

pri(i-4)<=ram (conv_integer(item))(i); --商品单价的读取 end loop;

for i in 0 to 3 loop

quan(i):=ram(conv_integer(item))(i); --商品数量的读取 end loop;

end if;

end if;

qua<=quan;

end process com;

m32:process(clk) --此进程完成对32Mhz的脉冲分频 variable q: std_logic_vector( 24 downto 0);

begin

if clk'event and clk='1' then q:=q+1;

end if;

if q="11xxxxxxxxxxxx111111111" then clk1<='1';

else clk1<='0';

end if;

end process m32;

- 8 -

code0:process(item) --商品指示灯译码 begin

case item is

when "00"=>item0<="0111";

when "01"=>item0<="1011";

when "10"=>item0<="1101";

when others=>item0<="1110";

end case;

end process

code1: process (coin) --

Begin

case coin is

when "0000"=>y0<="0000001";

when "0001"=>y0<="1001111";

when "0010"=>y0<="0010010";

when "0011"=>y0<="0000110";

when "0100"=>y0<="1001100";

when "0101"=>y0<="0100100";

when "0110"=>y0<="0100000";

when "0111"=>y0<="0001111";

when "1000"=>y0<="0000000";

when "1001"=>y0<="0000100";

when others=>y0<="1111111";

end case;

end process;

code2: process (qua) --

Begin

case qua is

when "0000"=>y1<="0000001";

when "0001"=>y1<="1001111";

when "0010"=>y1<="0010010";

when "0011"=>y1<="0000110";

when "0100"=>y1<="1001100";

when "0101"=>y1<="0100100";

- 9 - 钱数的BCD到七段码的译码单价的BCD到七段码的译码

when "0110"=>y1<="0100000"; when "0111"=>y1<="0001111"; when "1000"=>y1<="0000000"; when "1001"=>y1<="0000100"; when others=>y1<="1111111"; end case;

end process;

end behav;

3.2各功能部件系统仿真图 通过软件仿真,得出如下仿真图:

系统仿真全图

EDA自动售货机设计

- 10 -

系统仿真图-商品种类选择部分

系统仿真图-投币部分

EDA自动售货机设计

EDA自动售货机设计

- 11 -

系统仿真图-购买、找币结束交易部

EDA自动售货机设计

- 12 -

结 论

这次设计完成了。再设计中遇到了种种问题,在自己查阅资料及老师的帮助下一一攻克了。在即将开设EDA专业课程时,为了能够更加熟练的掌握本课程,选择了这个学年设计。在设计过程中,通过自己的动手及查阅了相关资料,初步了解了VHDL语言的编程,并熟悉了相关软件的使用。本次学年设计我学到了不少新的东西,也发现了大量的问题,有些在设计过程中已经解决,有些还有待今后慢慢学习。学习中会有更多的问题,有更多的难点,但也会有更多的收获。这次设计不仅提高了动手能力,更培养了自学,独立思考和处理问题的能力。为以后的学习和工作提供了帮助。由于我的水平有限,学年设计还并不十分完善,论文还有许多疏漏,恳请各位老师批评指正。

- 13 -

参考文献

[1]郑燕.基于VHDL语言与QuartusⅡ软件的可编程逻辑器件应用与开发.2007.

[2]杨晓慧.基于FPGA的EDA/SOPC技术与VHDL.2007.

[3]张霞.VHDL在现代EDA中的应用.2001.

[4]潘松、黄继业.EDA技术实用教程2002.

[5]李志方.EDA技术简介.2001.

- 14 -

更多相关推荐:
自动售货机开题报告

本科毕业论文设计开题报告书题目自动售货机的设计134

自动售货机开题报告

本科毕业论文设计开题报告书题目自动售货机的设计134

PLC自动售货机开题报告

上海电机学院统一印制开题报告基于PLC的自动售货机控制系统设计1摘要自动化技术可以将工人从劳动中解救出来节省劳动力可以更稳定的控制机械机器本毕业设计将把售货过程分为了几个模块完成它的梯形图按照设计要求画出顺序功...

自动售货机开题报告

毕业设计论文开题报告

自动售货机开题报告

黄石理工学院毕业设计论文开题报告自动售货机开题报告1课题来源2研究目的和意义1黄石理工学院毕业设计论文开题报告4本课题的主要研究内容及拟采取的技术路线试验方案2黄石理工学院毕业设计论文开题报告6预期达到的目标及...

自动售货系统开题报告

毕业设计开题报告题目基于单片机的自动售货系统设计学生姓名陈国超学号090701101专业电子信息工程指导教师刁彦华20xx年4月1日毕业设计开题报告一选题背景和意义自动售货机是台机电一体化的自动化装置在接受到货...

自动售货机毕设开题报告

毕业设计论文题目院系部专业及班级姓名指导教师日期20xx310开题报告基于单片机的自动售货机系统软件电气与控制工程学院测控技术与仪器0801班陈国龙昝宏洋西安科技大学毕业设计论文开题报告

基于PLC自动售货机的设计开题报告

鞍山师范学院20xx级本科毕业论文设计开题报告专业名称电子科学与技术

自动售货机毕设开题

基于PLC的自动售货机的电气设计摘要文章首先简述了自动售货机系统的意义和发展现状以及PLC语言的特点然后介绍了自动售货机的设计要求设计思路并给出了总体设计框图通过分析设计写出PLC程序源代码将代码在软件平台上进...

自动运料小车开题报告

本科学生毕业论文设计开题报告报告日期12

自动停车收费系统 开题报告

理工学院毕业设计论文开题报告题目自动停车收费控制系统的设计学生姓名贾志辉学号09L0803102专业测控技术与仪器指导教师赵哲20xx年4月10日开题报告填写要求1开题报告含文献综述作为毕业设计论文答辩委员会对...

20xx温湿度自动控制毕业设计开题报告

毕业设计论文开题报告学生姓名逄爽学号0907250211专业自动化测控技术与仪器设计论文题目基于单片机的温湿度自动控制系统设计指导教师伦向敏20xx年3月29日开题报告填写要求1开题报告含文献综述作为毕业设计论...

自动售货机开题报告(16篇)