VHDL语言设计七段示波译码器

时间:2024.5.13

课程

系 : 电子信息与计算机科学系

专业 : 自动化

班级 : 文自112—2班

姓名 : 桑*超

学号

指导教师 : 徐红霞

学年学期 :2012-2013学年(第一学期)

20xx年12月19日

VHDL语言设计七段示波译码器

姓名: 桑*超 班级: 文自112-2班 学号: 2011905192**

试验: VHDL语言设计 日期:2012.12.19 指导老师: 徐洪霞

一、 实验报告的名称: VHDL语言设计BCD-七段译码示波器

二、 本次实验的目的:

1.掌握VHDL 语言的设计技巧

2.用VHDL语言设计BCD-七段译码示波器

三、 设计过程:

1.工程编译源:用VHDL语言编程。

2.功能仿真:将功能编译后的结果进行仿真。

3.引脚锁定:将个信号按要求分配到相应引脚.

4.物理实现:将结果下载到所悬着的器件中

四、 写出源程序,画出防真波形图.

例化后: 显示器:

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

entity v_deco74 is

port( num : in std_logic_vector(3 downto 0);

b : out std_logic_vector(6 downto 0));

end v_deco74;

architecture one of v_deco74 is

begin

process(num)

VHDL语言设计七段示波译码器

begin case a is when "0000"=>b<="1111110"; when "0001"=>b<="0110000"; when "0010"=>b<="1101101"; when "0011"=>b<="1111001"; when "0100"=>b<="0110011"; when "0101"=>b<="1011011"; when "0110"=>b<="1011111"; when "0111"=>b<="1110000"; when "1000"=>b<="1111111"; end one; when "1001"=>b<="1111011"; when others =>b<="XXXXXXX"; end case; end process;

五、 实验总结,主要包括实验中所犯错误,怎样改正等

1. 在文件名必须与VHDL文件中的设计实体名保持一致。

2. 要记住七段示波译码器是共阴极的.

3. 要知道七段示波译码器的a、b、c、d、e、f段分别所对应的位置


第二篇:VHDL语言设计三八译码器


课程

系 :电子信息与计算机科学系

专业 :

自动化

班级 : 文自112—2班 姓名 : 桑*超

学号

指导教师

学年学期 :2012-2013学年(第一学期)

VHDL语言设计三八译码器

20xx年12月01日

VHDL语言设计三八译码器

姓名: 桑*超 班级: 文自112-2班 学号: 2011905192** 试验: VHDL语言设计 日期:2012.12.01 指导老师: 徐洪霞

一、 实验报告的名称: VHDL语言设计

二、 本次实验的目的:

1.掌握VHDL 语言的设计技巧

2.用VHDL语言设计三八一码器

三、 设计过程:

1.工程编译源:用VHDL语言编程。

2.功能仿真:将功能编译后的结果进行仿真。

3.引脚锁定:将个信号按要求分配到相应引脚.

4.物理实现:将结果下载到所悬着的器件中

四、 写出源程序,画出防真波形图.

波形仿真图:

VHDL语言设计三八译码器

五、 实验总结,主要包括实验中所犯错误,怎样改正等

1. 在文件名必须与VHDL文件中的设计实体名保持一致。

2.设计前要先弄清楚三八选择器的原理,然后根据原理设计程序。

3.编写程序时认真仔细,避免出现一些低级错误。

更多相关推荐:
设计师的名言

1一切随心有心去感悟空间2生活是创意的来源创意源于文化创意源于自己3将设计融于人性将家居带入悠闲自在的情境4设计是一种追求完美的生活态度设计是一种追求品味的生活概念5让灵感自由释放创造一个心灵渴望的空间6人为的...

设计名人名言

弗兰克劳埃德赖特美国著名建筑师语录1gt忘掉世界上的建筑除非在它们方法上和在它们时代的某些美好的东西2gt形成思索为什么的习惯对任何使你愉快或厌烦的影响3gt谁要以为自己发明了永恒的美他就一定会陷于模仿和停滞不...

设计师名言

设计源于生活生活因设计而改变马郡设计灵感来源于生活比如在吃饭的时候和朋友聊天的时候逛街的时候等等有时候灵感的闪现只是在电光火石的一瞬间设计灵感更需要积累和记录毛主席不是说过好记性不如烂笔头只有这样才能把灵感应用...

设计格言

以前瞻性的设计笔触给予胆色和前瞻性的生活品味在平淡和谐中凸显强烈的感触带给人耳目一新的感觉吕海燕不为设计而设计而是对生活细节及生活情趣的自然流露和迸发一张桌一把椅一杯茶一本书一切均体现在生活的细微之处尽在自然而...

室内设计名言50句

室内设计名言50句1一切随心用心去感悟空间2生活是创意的来源创意源于文化创意源于自己3将设计融于人性将家居带入悠闲自在的情境4设计是一种追求完美的生活态度设计是一种追求品味的生活概念5让灵感自由释放创造一个心灵...

室内设计界名言

室内设计界名言作者114577时间20xx10251137441一切随心用心去感悟空间2生活是创意的来源创意源于文化创意源于自己3将设计融于人性将家居带入悠闲自在的情境4设计是一种追求完美的生活态度设计是一种追...

设计名言

第一条不可抄袭他人之创意不论有何前提Nottocopyother39screativeworkundernocircumstances第二条不可过分依赖电脑技术切记你是一名设计师不是一名电脑修图员Nottore...

设计师的经典名言

别把客户当上帝否则你将成为魔鬼设计师的个人形象很重要设计师必须先会设计自己不要担心以后有没有单子做只要做好手上的项目就行免费是世界上最贵的提倡有偿设计现实的酬劳才有现实设计站在客户的角度充分考虑为什么找你做设计...

设计师名言

1一切随心有心去感悟空间2生活是创意的来源创意源于文化创意源于自己3将设计融于人性将家居带入悠闲自在的情境4设计是一种追求完美的生活态度设计是一种追求品味的生活概念5让灵感自由释放创造一个心灵渴望的空间6人为的...

国内外平面设计大师至理名言集合

国内外设计大师及商界名人针对如何进行平面设计的至理名言集合这些名言警句都是名人大师的实践理论的结晶总结想成为下一个设计大师的话你还真得认真揣摩我们是以图像的方式来认识单词的字母就是极具特色的图像而单词又被当作图...

室内设计师助理工作内容_都干些什么

室内设计师助理工作内容都干些什么室内设计师的工作重点是把客户对于室内的需求想法转化成事实帮助客户创造出实用与美学并重的全新空间被客户欣赏沟通并了解客户的真正需求是室内设计师工作中的一个重点但是对于应届生而言往往...

怎样才能成为一名合格的室内设计师

简短定义专业的室内设计师必须经过教育实践和考试合格后获得正式资格其工作职责是提高室内空间的功能和居住质量完整定义服务的范围室内设计专业所提供的服务包括室内空间的规划研究设计形成以及工程实施以改善生活质量提高生产...

设计格言(22篇)