实验六 移位寄存器

时间:2024.4.20

实验六 移位寄存器

一.实验目的

1.熟悉并掌握移位寄存器的构成、工作原理和功能测试方法。

2. 熟悉并掌握电路仿真软件Multisim的使用,掌握构建仿真电路的基本方法,体会虚拟设备与仿真。

二、实验仪器及器件

面包板、电源及导线若干

万用表        1台

双踪示波器    1台

信号发生器    1台           

74LS74    双D触发器   1片

发光二极管                    2只

DIP拨码开关                  1只

附:1. 7474 双上升沿D触发器(有预置、清除功能)

结构图                管脚图

74LS74真值表

三、实验内容

1.      使用Multisim仿真软件进行移位寄存器的功能测试。

用两片74LS74搭建一个4位右移寄存器电路,如下图所示异步复位端并接入一个双向开关,异步置位端都接高电平。

2.在面包板上用1片74LS74搭建2位右移寄存器电路。端接电平输入,端接电平指示灯。CP接0.5Hz、5V方波脉冲,注意:偏移量调成2.5V。输入端D先高电平,在示波器上观察CP脉冲和的信号。先分别将端置低电平,观察异步端置0和置1的功能。然后将端置0,即初始化各个触发器(置零),再将端置1,观察移位寄存器移位输出的情况,即观察指示灯(应该是在两个脉冲后全亮)。

3.选作实验内容

使用multisim仿真软件搭建教材P159面6.13中(a)图所示电路。使用元器件Indicatior(上方工具栏中的)中的DCD_HEX做输出显示,四输入的与非门可以用多个74ls00二输入与非门实现。搭建好后,仔细观察该电路的逻辑功能。

四、实验报告要求

认真真实写出本实验的实验原理、方法、实验步骤和实验结果。

报告要求及示例:

1.原理(移位寄存器原理,参见教材P142)

2. 实验中的测试方法时序逻辑电路测试的目的是验证其状态的转换是否与状态图或时序图相符合。可用电平显示灯、数码管或示波器等观察输出状态的变化。常用的测试方法有两种,一种是单拍工作方式:以单脉冲源作为时钟脉冲,逐拍进行观测,来判断输出状态的转换是否与状态图相符。另一种是连续工作方式:以连续脉冲源作为时钟脉冲,用示波器观察波形,来判断输出波形是否与时序图相符。(本次是连续测试法)

3. 实验步骤:先描述准备过程、再描述电路搭建过程(注意顺序!)、最后是测试过程与结果记录。

特别要求:搭建好的电路,选择一个测试好的状态拍照记录,照片要求放在实验步骤的最后。拍照时要注意:图中电路要求完整清晰,照片要把本实验组的设备号码拍摄到。每组都有唯一的编号01——60号。

4.实验结果记录(认真描述实验的每次的输入输入状态,按照实验的顺序描述。例如:异步端置零,输出***;输入输入高电平,输出****等等。

做了实验3内容的同学要如实描述电路的显示情况,说明逻辑功能,并分析原理。

5.  总结与体会(记录实验收获的经验与教训)


第二篇:实验6移位寄存器及其应用


实验六移位寄存器及其应用

一、实验目的

1、  掌握移位寄存器功能的测试方法

2、  掌握4位双向移位寄存器的逻辑功能

二、实验仪器及设备

1、  EEL-II型电工电子实验台

2、  集成器件74LS194

三、实验内容

1、  在数字实验箱中插入74LS194,按图6.1接线

图6.1 74LS194管脚排列图和逻辑功能测试图

2、  接线完毕,检查无误后,进行基本功能测试

复位:=0,电路复位,QAQBQCQD=0000

保持:CR非=1,S1=S0=0,QA~QD状态不变

使=1,S1、S0(工作状态控制端)任意,CP=0或CP=1,则QA~QD状态也不变

表6.1 74LS194双向4位移位寄存器功能表

并行置数:置=1,S1=S0=10,数据输入端DCBA置为0101,输入单次脉冲,则QDQCQBQA=0101,如果改变DCBA数据,再按单次脉冲,新数据将置入。

右移位:置=1,S1=0,S0=1,DSR=1,DSL=*,输入单次脉冲,则QA=1,QB=QAn,QC=QBn,QD=QCn

左移位:置=1,S1=1,S0=0,DSR=*,DSL=1,输入单次脉冲,则QD=1,QC=QDn,QB=QCn,QA=QBn

3、  循环右移应用

如将上图中的DSR端接到QD端,并将寄存器QD~QA置为1000,且满足右移条件,在寄存器会右移一个“1”,每4个时钟脉冲完成一次循环。

4、  用74LS194组成8位移位寄存器

原理如图6.2所示。

图6.2 用74LS194组成8位移位寄存器原理图

四、实验报告

整理各项测试结果。

更多相关推荐:
移位寄存器实验报告

移位寄存器实验报告一实验原理移位寄存器是用来寄存二进制数字信息并且能进行信息移位的时序逻辑电路根据移位寄存器存取信息的方式可分为串入串出串入并出并入串出并入并出4种形式74194是一种典型的中规模集成移位寄存器...

移位寄存器实验报告

移位寄存器实验报告一、实验目的和要求掌握移位寄存器的工作原理及设计方法掌握串、并数据转换的概念与方法了解序列信号在CPU控制器设计中的应用二、实验内容和原理2.1实验原理带并行置入的移位寄存器移位寄存器:每来一…

实验一、线性移位寄存器实验报告

实验一实验报告姓名何璟学号120xx60099实验日期20xx108一实验名称线性移位寄存器二实验目的1234了解线性移位寄存器产生伪随机序列的原理伪随机寄存器的软件实现同一个反馈函数不同初始值下的输出序列同一...

实验8 移位寄存器实验报告

移位寄存器实验报告一实验原理移位寄存器是用来寄存二进制数字信息并且能进行信息移位的时序逻辑电路根据移位寄存器存取信息的方式可分为串入串出串入并出并入串出并入并出4种形式74194是一种典型的中规模集成移位寄存器...

移位寄存器实验报告

移位寄存器实验报告424实验目的1掌握移位寄存器的工作原理2综合移位寄存器数码扫描电路在实验板上下载并验证结果3掌握电路仿真的基本方法移位寄存器的功能仿真波形文件信号输入Endtime2usgridsize10...

电子技术实验报告6—移位寄存器及其应用

学生实验报告

数电6实验报告--移位寄存器及其应用

学生实验报告

数字实验六 移位寄存器及其应用

学生实验报告

实验四、 移位寄存器和计数器的设计 电子版实验报告

实验四移位寄存器和计数器的设计实验室348实验台号28日期1119专业班级机械工程1309姓名刘朱伟学号20xx3146一实验目的1通过实验了解二进制加法计数器的工作原理2掌握任意进制计数器的设计方法二实验内容...

实验三 四位移位寄存器设计

实验三四位移位寄存器设计一实验目的l掌握移位寄存器的存储原理与移位原理2掌握VHDL语言的生成语句的使用方法3用VHDL语言设计串入并出移位寄存器电路4设计一个4位串入并出移位寄存器电路二实验原理生成语句具有复...

高等教育工科移位寄存器的实验报告

四位左移右移寄存器一实验目的1掌握双向移位寄存器的组成和工作原理2掌握双向移位寄存器逻辑功能3了解双向移位寄存器的应用4学可层次设计的方法二实验内容1仿真并下载验证四位并行存取双向移位寄存器74194的逻辑功能...

湖大EDA第四次实验报告移位寄存器

EDA第四次实验报告移位寄存器一实验目的1移位寄存器的工作原理及应用2定制LPM原件及应用3电路仿真基本方法4混合模块工程设计方法二实验仪器1PC一台2DDA系统数字系统实验平台3Quatartus2配套软件三...

移位寄存器实验报告(32篇)