EDA课程设计感想

时间:2024.5.15

这是一次综合性很强的实验,从最初的模型规划,到具体功能的实现,再到电路的连接,直至最后的电路调试,每一个环节都让我加深了对实际问题的思考,同时也让我动手能力有了很大的提高。

这次最大的收获就是学会了很系统地去解决一个实际问题,学会了巧妙运用模块化的思想。在整个电路设计与实现中,最成功的地方就是有条理地将功能细化,分成一个一个小的功能来实现。没做好一个小功能实现的电路,就将其集成为一块具有此功能的芯片,这样,在之后的电路连接中就只要将这块芯片接入即可,最后就这样一级一级地将电路集成,最后生成的电子钟电路就只是一块芯片,只要加一些其他外部控制开关与显示电路就能实现此多功能电子钟的各功能。

在这个过程中,我更深刻地体会从分立元件到中下规模集成电路再到大规模集成电路的组成过程。同时也更加深入地了解了Quarterii这一软件的更多的功能。更重要的是在此过程中,我学会了独立思考,遇到问题一步一步去研究与解决解决,对于电路出现的问题不急于拆线,而是一部分一部分地对其应有的功能进行调试,对问题进行各个击破。总而言之,这次实验让我觉得受益匪浅,不再觉得学无所用。实验所解决的问题与生活紧密相连,从而将平时学书本上的理论与实践很好地结合起来,最终当做出成品时,有很大的成就感。

七.遇到的问题及解决方案

1.编译通过后,下载到硬件上之后,发现程序并没有预期的效果。如显示乱序而没有规律等等,当加入适当的选通信号或者脉冲后发现问题得到了解决。所以必须在实践中不断地修改以得到正确的结论。

2.高电平有效还是低电平有效,这是一个非常容易忽视的问题,有时就知道这个端口要控制信号但不考虑好什么电平有效,造成错误,使得使能端或者清零端的出现错误控制信号。并且到底是脉冲控制,还是边沿控制一定要清楚。在实验时候可以避免一些不必要的麻烦。

3.引脚重复使用也是一个问题,有时候输出信号必须输出到一个特定的引脚。而输出来自两路信号,这时候必须加入一个选择器件选择输出信号输出。

在实际调试的时候我们必须耐心思考,遇到问题针对问题出现的原因认真思考以解决问题。 ---------------------

这次的课程设计对于我来说是毕业前的一次演练,从抽题到画出流程图,再到写出程序,不停的编不停的改不停的查资料……直至最后完成,搭档和我都非常的努力,一有问题大家都坐在一起讨论,一起努力,一起攻克问题。我想我们享受的就是这个过程,而不仅仅只是结果。通过这次的设计,我知道了,在任何问题面前,只要我们努力,只要我们勇敢,我想,他们都不是问题,都不是困难。最后感谢搭档的努力和合作,感谢老师的指导。 ---------------

这次EDA课程设计历时两个星期,在整整两个星期的日子里,可以说是苦多于甜,但是可以学的到很多很多的东西,同时不仅可以巩固以前所学过的知识,而且学到了很多在书本上所没有学到过的知识。通过这次设计,进一步加深了对EDA的了解,让我对它有了更加浓厚的兴趣。特别是当每一个子模块编写调试成功时,心里特别的开心。但是在编写顶层文件的程序时,遇到了不少问题,特别是各元件之间的连接,以及信号的定义,总是有错误,在细心的检查下,终于找出了错误和警告,排除困难后,程序编译就通过了,心里终于舒了一口气。在波形仿真时,也遇到了一点困难,想要的结果不能在波形上得到正确的显示:在设定输入的时钟信号后,数字秒表开始计数,但是始终看不到秒和小时的循环计数。后来,在数十次的调试之后,才发现是因为输入的时钟信号对于器件的延迟时间来说太短了。经过屡次调试,终于找到了比较合适的输入数值:时钟周期设置在1zzxu.cne的值需要设置的长一点:500us左右,这样就可以观察到完整的仿真结果。

其次,在连接各个模块的时候一定要注意各个输入、输出引脚的线宽,因为每个线宽是不一

样的,只要让各个线宽互相匹配,才能得出正确的结果,否则,出现任何一点小的误差就会导致整个文件系统的编译出现错误提示,在器件的选择上也有一定的技巧,只有选择了合适当前电路所适合的器件,编译才能得到完满成功。

通过这次课程设计使我懂得了理论与实际相结合是很重要的,只有理论知识是远远不够的,只有把所学的理论知识与实践相结合起来,从理论中得出结论,才能真正为社会服务,从而提高自己的实际动手能力和独立思考的能力。在设计的过程中遇到问题,可以说得是困难重重,这毕竟第一次做的,难免会遇到过各种各样的问题,同时在设计的过程中发现了自己的不足之处,对以前所学过的知识理解得不够深刻,掌握得不够牢固。

总的来说,这次设计的数字秒表还是比较成功的,在设计中遇到了很多问题,最后在老师的辛勤的指导下,终于游逆而解,有点小小的成就感,终于觉得平时所学的知识有了实用的价值,达到了理论与实际相结合的目的,不仅学到了不少知识,而且锻炼了自己的能力,使自己对以后的路有了更加清楚的认识,同时,对未来有了更多的信心。最后,对给过我帮助的所有同学和各位指导老师再次表示忠心的感谢!


第二篇:EDA技术课程设计题目


EDA技术课程设计指导书 电气自动化教研室

一、课程设计目的 《EDA技术》课程设计

《EDA技术》是电气自动化、自动控制、机械电子类专业重要的专业课之一,它不但要求有较高的理论水平,而且还要求有较强的实际动手能力。本课程的主要目的是提高实践能力,包括提高VHDL语言的编程能力(电子设计自动化)及对接口等硬件的理解分析能力和设计能力。一般来讲,课程设计比教学实验复杂一些,涉及的深度更广并更加接近实用。目的是通过课程设计的综合训练,培养学生实际分析问题、编程和动手能力,最终帮助学生系统掌握该门课程的主要内容,更好的完成教学任务。

二、课程设计要求:

1、课程设计要求扎扎实实完成,绝不走过场。

2、培养独立思考,独立动手,独立查阅资料,严谨治学,一丝不苟的工作作风,培养会检索查阅资料,使用资料的能力,严禁抄袭,不求甚解。

3、培养形成独立分析问题,解决问题的能力,为毕业后工作打好基础。

4、有关问题按课程设计大纲要求进行。

三、 课程设计时间及安排

课程设计集中在二周(10天)进行。为保证达到预计的教学任务及目的,以小组为单位分别进行资料的收集、方案论证、电路设计、编程、调试、实验及改进。具体进度及要求安排如下:

EDA技术课程设计题目

1

EDA技术课程设计指导书 电气自动化教研室

四、课程设计的考查

设计成绩根据平时考勤、阶段性进度检查、质疑,设计说明书最后验收,最终设计答辩多个环节 综合评定。在集中调试期间,学生不得无故请假或缺勤,缺勤累计达三分之一者,指导教师可直接定为设计成绩不及格。

五、课程设计说明书(报告)撰写要求

1、 课程设计计算说明书正文基本要求:

(1)书写整洁、论述清楚、计算正确

(2)论述方面内容应图文并茂、重点突出

2、 课程设计计算说明书应包括以下内容:

(1)设计题目及封面:主要填写工程名称,编写人、编制日期等。

(2)目录

(3)摘要:论文的主要内容

(4)设计的各个部分论述(并附有各个模块的源程序)

(5)设计总结和心得体会

(6)参考资料(资料编号、作者、书名、出版单位、出版年月)

2

EDA技术课程设计指导书 电气自动化教研室

设计题目一:电子秒表的设计

一、设计题目

电子秒表的设计

二、设计目的

1、熟悉VHDL语言

2、学习电子秒表的设计,调试,仿真以及对仿真波形的调试

三、设计要求(数字钟的功能)

1.具有时,分,秒,计数显示功能,以24小时循环计时;

2.设置启动、停止开关,具有启动和停止计时操作的功能;

3.要求计时精度为0.01S,最长时间24H;

4.具有清零,调节小时、分钟功能;(拓展功能选做)

5.具有整点报时功能,整点报时的同时LED灯花样显示。(拓展功能选做)

四、设计方案及原理:

数字钟的基本工作原理:

数字钟以其显示时间的直观性、走时准确性而受到了人们的欢迎并很快走进了千家万户。作为一种计时工具,数字钟的基本组成部分离不开计数器,在控制逻辑电路的控制下完成预定的各项功能。数字钟的基本原理方框图如下:

100Hz 晶振 分频整形 门控双稳

脉冲计数 译码 显示 时基T产生电路 调时、调分控制电路 计数器 电路 位选信号发生电路 控制逻辑电路

(1)时基T 产生电路:由外部产生的频率非常稳定的脉冲,经整形、稳定电路后,产生一个频率为 1Hz的、非常稳定的计数时钟脉冲。

(2)控制逻辑电路:产生调时、调分信号及位选信号。

调时、调分信号的产生:由计数器的计数过程可知,正常计数时,当秒计数器( 60进制)计数到59 时,再来一个脉冲,则秒计数器清零,重新开始新一轮的计数,而进位则作为分计数器的计数脉冲,使分计数器计数加1。

3

EDA技术课程设计指导书 电气自动化教研室

(3)计数显示电路:由计数部分、数据选择器、译码器组成,是时钟的关键部分。

计数部分: 由两个 60进制计数器和一个24 进制计数器组成,其中60 进制计数器可用6 进制计数器和10 进制计数器构成;24 进制的小时计数同样可用6 进制计数器和10 进制计数器得到:当计数器计数到24 时,“2”和“4”同时进行清零,则可实现24 进制计数。

数据选择器:用3--8译码器实现多路数据选择器,因为本用到了8个数码管 。

显示译码:八段数码管译码器的显示原理。 。

五、参考资料:

1.阎石,《数字电子技术基础》(第四版).高等教育出版社,1998.11

2.康华光,《电子技术基础》(数字部分)第四版.高等教育出版社 ,2000

3.王道宪,《CPLD/FPGA可编程逻辑器件应用与开发》,北京,国防工业出版社,2004 4 .郑崇勋,《数字系统故障对策与可靠性技术》,北京,国防工业出版社,1995

5.欧阳星明,《数字逻辑》,武汉 ,华中科技大学出版社 2000

6.张昌凡等,《可编程逻辑器件及VHDL设计技术》,广州,华南理工大学出版社,2001

7.李景华等,《可编程逻辑器件与 EDA 技术》,沈阳,东北大学出版社,2000

8. 卢毅、赖杰,《VHDL与数字电路设计》,科学出版社

9. 谭会生、张昌凡,《EDA技术及应用》,西安电子科技大学出版社

10. 辛春艳,《VHDL硬件描述语言》,国防工业出版社

11.李中发,《数字电子技术》,北京,中国水利水电出版社,2001.7

12.夏路易、石宗义, 《电路原理图与电路板设计教程 Protel 99 SE》,北京,2002.6

4

EDA技术课程设计指导书 电气自动化教研室

设计题目二: 交通灯控制器

一、设计题目

四种信号灯的交通灯控制器

二、设计目的

1、熟悉VHDL语言

2、学习十字路口交通灯的设计,调试,仿真以及对仿真波形的调试

三、设计要求

设计一个具有四种信号灯的交通灯控制器。设计要求是:由一条主干道和一条支干道汇 合成十字路口,在每个入口处设置红、绿、黄、左拐允许四盏信号灯,红灯亮禁止通行,绿灯亮允许通行,黄灯亮则给行驶中的车辆有时间停在禁行线外,左拐灯亮允许车辆向左拐弯。 信号灯变换次序为:主支干道交替允许通行,主干道每次放行 40S,亮 5S 黄灯让行驶中的 车辆有时间停到禁行线外,左拐放行 15 秒,亮 5S 红灯;支干道放行 30S,亮5S 黄灯,左 拐放行 15 秒,亮 5S 红灯……。各计时电路为倒计时显示。 系统框图如图2.1所示。

图2.1 具有四种信号灯的交通灯控制器系统框图

四、设计原理

此设计问题可分成定时模块、主控电路、译码驱动电路和扫描显示几部分。

定时模块中设置40 秒、30 秒、15 秒、5 秒计时电路,倒计时可以用减法计数器实现。 状态表如表1 所示。

5

EDA技术课程设计指导书 电气自动化教研室

倒计时显示电路。

可以进行主控电路和译码显示电路的设计,注意这里的状态数为8 个, 要用三个JK 触发器才能完成主控时序部分的设计。

设置主干道红灯显示信号

EDA技术课程设计题目

LA1,黄灯显示信号LA2,绿灯显示信号LA3,左拐灯信号LA4;支干道红灯显示信号LB1,黄灯显示信号LB2,绿灯显示信号LB3,左拐灯信号LB4。 设置系统使能信号EN,时钟信号clk。

硬件系统示意图如图2所示。

图2 具有四种信号灯的交通灯控制器硬件系统示意图

五、参考资料:

1.阎石,《数字电子技术基础》(第四版).高等教育出版社,1998.11

2.康华光,《电子技术基础》(数字部分)第四版.高等教育出版社 ,2000

3.王道宪,《CPLD/FPGA可编程逻辑器件应用与开发》,北京,国防工业出版社,2004

4 .郑崇勋,《数字系统故障对策与可靠性技术》,北京,国防工业出版社,1995

5.欧阳星明,《数字逻辑》,武汉 ,华中科技大学出版社 2000

6.张昌凡等,《可编程逻辑器件及VHDL设计技术》,广州,华南理工大学出版社,2001

7.李景华等,《可编程逻辑器件与 EDA 技术》,沈阳,东北大学出版社,2000

8. 卢毅、赖杰,《VHDL与数字电路设计》,科学出版社

9. 谭会生、张昌凡,《EDA技术及应用》,西安电子科技大学出版社

10. 辛春艳,《VHDL硬件描述语言》,国防工业出版社

11.李中发,《数字电子技术》,北京,中国水利水电出版社,2001.7

12.夏路易、石宗义, 《电路原理图与电路板设计教程 Protel 99 SE》,北京,2002.6

6

EDA技术课程设计题目

EDA技术课程设计指导书 电气自动化教研室

设计题目三: 智力抢答器的设计

一、设计题目

智力抢答器的设计

二、设计目的

1、熟悉VHDL语言

2、学习智力抢答器的设计,调试,仿真以及对仿真波形的调试

三、设计要求

1) 设计制作一个可容纳四组参赛者的数字智力抢答器,每组设置一个抢答按钮供抢答者

使用。

2) 电路具有第一抢答信号的鉴别和锁存功能。在主持人将系统复位并发出抢答指令后,

若参加者按抢答开关,则该组指示灯亮并用组别显示电路显示抢答者的组别。此时,电路具备自锁功能,使别组的抢答开关不起作用。

3) 设置计分电路。每组在开始时预置成100分,抢答后主持人计分,答对一次加10分。

4) 设置犯规电路。对提前抢答和超时抢答的组别鸣喇叭示警,并由组别显示电路显示出

犯规组别。

四、设计方案

根据系统设计要求可知,系统的输入信号有:各组的抢答按钮A、B、C、D,系统清零

信号CLR,系统始终信号CLK,计分复位端RST,加分按钮端ADD,计时预置控制断LDN,计时使能端EN,计时预置数据调整按钮TA、TB;系统的输出信号有:四个组抢答成功与否的指示灯控制信号输出口LEDA、LEDB、LEDC、LEDD,四个组抢答时的计时数码显示控制信号若干,抢答成功组别显示的控制信号若干,各组计分动态显示的控制信号若干。本系统应具有的功能有:第一抢答信号的鉴别和锁存功能;抢答计时功能;各组得分的累加和动态显示功能;抢答犯规记录功能。系统框图如图3.1所示。

EDA技术课程设计题目

图 3.1 抢答器系统框图 7

EDA技术课程设计指导书 电气自动化教研室

五、设计提示

此设计问题可分为第一信号鉴别锁存模块、答题计时模块、计分电路模块和扫描显示模 块四部分。

第一信号鉴别锁存模块的关键是准确判断出第一抢答者并将其锁存,在得到第一信号后 将输入端封锁,使其它组的抢答信号无效,可以用触发器或锁存器实现。设置抢答按钮K1、 K2、K3、K4,主持人复位信号reset,扬声器驱动信号out。

reset=0 时,第一信号鉴别锁存电路、答题计时电路复位,此状态下,若有抢答按钮按 下,鸣笛示警并显示犯规组别;reset=1时,开始抢答,由第一信号鉴别锁存电路形成第一 抢答信号,进行组别显示,控制扬声器发出音响,并启动答题计时电路,若计时时间到主持 人复位信号还没有按下,则由扬声器发出犯规示警声。

计分电路是一个相对独立的模块,采用十进制加/减计数器、数码管数码扫描显示,设 置复位信号 reset1、加分信号 up、减分信号 down,reset1=0 时,所有得分回到起始分(10分),且加分、减分信号无效;reset1=1时,由第一信号鉴别锁存电路的输出信号选择进行 加减分的组别,每按一次up,第一抢答组加一分;每按一次down,第一抢答组组减一分。

硬件系统示意图如图3.2 所示。

EDA技术课程设计题目

图3.2抢答器硬件系统示意图

五、参考资料:

1.阎石,《数字电子技术基础》(第四版).高等教育出版社,1998.11

2.康华光,《电子技术基础》(数字部分)第四版.高等教育出版社 ,2000

3.王道宪,《CPLD/FPGA可编程逻辑器件应用与开发》,北京,国防工业出版社,2004 4 .郑崇勋,《数字系统故障对策与可靠性技术》,北京,国防工业出版社,1995

5.欧阳星明,《数字逻辑》,武汉 ,华中科技大学出版社 2000

6.张昌凡等,《可编程逻辑器件及VHDL设计技术》,广州,华南理工大学出版社,2001

7.李景华等,《可编程逻辑器件与 EDA 技术》,沈阳,东北大学出版社,2000

8. 卢毅、赖杰,《VHDL与数字电路设计》,科学出版社

9. 谭会生、张昌凡,《EDA技术及应用》,西安电子科技大学出版社

10. 辛春艳,《VHDL硬件描述语言》,国防工业出版社

11.李中发,《数字电子技术》,北京,中国水利水电出版社,2001.7

12.夏路易、石宗义, 《电路原理图与电路板设计教程 Protel 99 SE》,北京,2002.6

8

EDA技术课程设计指导书 电气自动化教研室

设计题目四: 量程自动转换数字式频率计的设计

一、设计题目

量程自动转换数字式频率计的设计

二、设计目的

1、熟悉VHDL语言

2、学习频率计的设计,调试,仿真以及对仿真波形的调试

三、设计要求

1) 频率计的测量范围为1MHz,量程分10KHz、100KHz和1000KHz三档(最大读

数分别为9.99KHz、99.9KHz、999KHz)。

2) 要求量程可根据被测量的大小自动转换。即当计数器溢出时,产生一个换档信号,让

整个计数时间减少为原来的1/10,从而实现换档功能。

3) 要求实现溢出报警功能。即当频率高于999KHz时,产生一报警信号,点亮LED灯,

从而实现溢出报警功能。

四、设计方案

1、频率计的工作原理

常用的测量频率的方法有两种,一个是测周期法,一个是测频率法。

测周期法需要有基准系统时钟频率Fs,在待测信号一个周期Tx内,记录基准时钟频率的周期数Ns,则被测频率可表示为:

Fx=Fs/Ns

测频率法就是在一定的时间间隔内Tw内,得到这个周期信号重复变化的次数Nx,则被测频率可表示为

Fx=Nx/Tw

本设计采用的是直接测频率的方法。

2、频率计的系统框图

频率计的系统设计可以分为4位10进制计数模块、闸门控制模块、译码显示模块和可自动换档基准时钟模块,其系统框图如图所示。

EDA技术课程设计题目

9

EDA技术课程设计指导书 电气自动化教研室

其中,可自动换档模块为闸门控制模块提供3个档也就是3个测量范围的基准时钟信号,通过计数器的最高位溢出情况来判定工作在第几档。

闸门控制模块根据基准时钟信号产生基准时钟信号周期2倍的周期使能信号,随后为锁存器产生一周期性地锁存信号,再然后为计数模块产生一周期性地清零信号。

4位10进制计数模块在使能信号和清零信号的控制下对被测信号的波形变化进行计数,若产生溢出则为自动换档模块输出一换档信号。

译码显示模块负责不闪烁的显示被测信号的频率以及数字频率计目前工作的档位。

五、参考资料:

1.阎石,《数字电子技术基础》(第四版).高等教育出版社,1998.11

2.康华光,《电子技术基础》(数字部分)第四版.高等教育出版社 ,2000

3.王道宪,《CPLD/FPGA可编程逻辑器件应用与开发》,北京,国防工业出版社,2004 4 .郑崇勋,《数字系统故障对策与可靠性技术》,北京,国防工业出版社,1995

5.欧阳星明,《数字逻辑》,武汉 ,华中科技大学出版社 2000

6.张昌凡等,《可编程逻辑器件及VHDL设计技术》,广州,华南理工大学出版社,2001

7.李景华等,《可编程逻辑器件与 EDA 技术》,沈阳,东北大学出版社,2000

8. 卢毅、赖杰,《VHDL与数字电路设计》,科学出版社

9. 谭会生、张昌凡,《EDA技术及应用》,西安电子科技大学出版社

10. 辛春艳,《VHDL硬件描述语言》,国防工业出版社

11.李中发,《数字电子技术》,北京,中国水利水电出版社,2001.7

12.夏路易、石宗义, 《电路原理图与电路板设计教程 Protel 99 SE》,北京,2002.6

10

更多相关推荐:
工程预算课程设计总结

课程设计是培养学生综合运用所学知识,发现,提出,分析和解决实际问题,锻炼实践能力的重要环节,是对学生实际工作能力的具体训练和考察过程.随着工程技术发展的日新日异,工程造价已经成为当今建筑工程领域最为重要的管理环…

c++课程设计总结

课程设计总结经过一个学期对《C++程序设计》的学习,我学习到了基本的理论知识,了解到了C++语言程序设计的思想,这些知识都为我的课程实践和进一步的学习打下了坚实的基础。在为期近两周的C++课程设计中,我体会颇多…

化工原理课程设计总结

《化工原理课程设计》总结本学期顺利完成了化学工程与工艺专业共100名同学的化工原理课程设计,总体来看学生的工艺计算、过程设计及绘图等专业能力得到了真正有效的提高,可以较好地把理论学习中的分散知识点和实际生产操作…

PLC自动门课程设计心得体会

PLC自动门课程设计心得体会:通过此次C语言程序设计实践本人实在是获益不浅!C语言是上个学期开的课程所以这个学期并没怎么看过当要开始设计的时候还真不知从哪下手!结果第一次的上机我傻坐着不知道该做什么后来就写了几…

课程设计心得体会

课程设计心得体会在初学C语言的一个学期后,学校组织我们进行了C语言实训,尝试编写一些有难度的程序。在为期两周的时间中,同组同学共同的感受是:C语言实训和平时上课所接触的程序是完全不同的,所经受的考验是平时所无法…

综合实践活动课程设计练习题(判断题、填空题、名词解释、简答题)

单选题第1题(3.0)分下列以研究性为主的探究活动的实施程序哪个是正确的√A、产生问题-确定主题-组建小组-制定计划-开展活动-总结成果-展示交流-评价反思B、产生问题--组建小组-确定主题-制定计划-开展活动…

20xx年《管理信息系统》课程设计论文选题

20xx年《管理信息系统》课程设计论文选题一、管理信息系统设计与开发1、IT行业市场情报管理信息系统设计与开发2、某工业企业生产业务处理信息系统设计与开发3、某产品质量管理信息系统设计与开发4、某工业企业设备管…

计量经济学期末课程论文范文

中国经济增长影响因素实证分析学号班级姓名摘要改革开放以来我国的社会主义经济取得了突飞猛进的发展经济增长速度更是举世瞩目本文采用经济增长模型和多元线性回归分析方法对19xx20xx年中国经济增长因素进行研究分析了...

计量经济学期末课程论文范文

中国经济增长影响因素实证分析摘要改革开放以来我国的社会主义经济取得了突飞猛进的发展经济增长速度更是举世瞩目本文采用经济增长模型和多元线性回归分析方法对19xx20xx年中国经济增长因素进行研究分析了物质资本劳动...

机械设计课程设计说明书范本

机械设计课程设计说明书范本目录任务书.......................................................................................…

课程设计参考范文3

目录前言3一可行性分析报告341目前状况描述32可行性分析321经济上可行322技术上可行323管理上可行424设备与平台选择分析425ROI分析4二需求分析说明书571系统功能结构图HIPO图52系统功能说明...

单片机课程设计范文

广东工业大学华立学院课程设计论文课程名称题目名称学生系部专业班级学号学生姓名指导教师20xx年06月5日1广东工业大学华立学院课程设计论文任务书一课程设计论文的内容设计一款贪吃蛇游戏机主要功能有1进行经典的贪吃...

课程设计(518篇)