篇一 :EDA交通灯实验报告

               

                 实验:交通灯设计

               

一、设计任务及要求:

设计任务:模拟十字路口交通信号灯的工作过程,利用实验板上的两组红、黄、绿LED作为交通信号灯,设计一个交通信号灯控制器。要求:

(1)  交通灯从绿变红时,有4秒黄灯亮的间隔时间;

(2)  交通灯红变绿是直接进行的,没有间隔时间;

(3)  主干道上的绿灯时间为40秒,支干道的绿灯时间为20秒;

(4)  在任意时间,显示每个状态到该状态结束所需的时间。

EDA交通灯实验报告

图1 路口交通管理示意图

表1 交通信号灯的4种状态

设计要求:

(1) 采用VHDL语言编写程序,并在QuartusII工具平台中进行仿真,下载到EDA实验箱进行验证。

(2)  编写设计报告,要求包括方案选择、程序清单、调试过程及测试结果。

二、设计原理       

1、设计目的:

学习DEA开发软件和QuartusII的使用方法,熟悉可编程逻辑器件的使用。通过制作来了解交通灯控制系统,交通灯控制系统主要是实现城市十字交叉路口红绿灯的控制

…… …… 余下全文

篇二 :EDA实验报告实验四:交通灯控制器设计

实验四   交通灯控制器设计

一、实验目的

1、学习与日常生活相关且较复杂数字系统设计;

2、进一步熟悉EDA实验装置和QuartusⅡ软件的使用方法;

3、学习二进制码到BCD码的转换;

4、学习有限状态机的设计应用。

二、设计要求

完成设计、仿真、调试、下载、硬件测试等环节,在型EDA实验装置上实现一个由一条主干道和一条乡间公路的汇合点形成的十字交叉路口的交通灯控制器功能,具体要求如下:

1、有MR(主红)、MY(主黄)、MG(主绿)、CR(乡红)、CY(乡黄)、CG(乡绿)六盏交通灯需要控制;

2、交通灯由绿转红前有4秒亮黄灯的间隔时间,由红转绿没有间隔时间;

3、乡间公路右侧各埋有一个串连传感器,当有车辆准备通过乡间公路时,发出请求信号S=1,其余时间S=0;

4、平时系统停留在主干道通行(MGCR)状态,一旦S信号有效,经主道黄灯4秒(MYCR)状态后转入乡间公路通行(MRCG)状态,但要保证主干道通行大于一分钟后才能转换;

5、一旦S信号消失,系统脱离MRCG状态,即经乡道黄灯4秒(MRCY)状态进入MGCR状态,即使S信号一直有效,MRCG状态也不得长于20秒钟;

6、控制对象除红绿灯之外,还包括分别在主干道和乡间公路各有一个两位十进制倒计时数码管显示。

三、           主要仪器设备

1、微机                                                                                    1台

…… …… 余下全文

篇三 :EDA交通灯实验报告

EDA实验 交通灯控制系统

一.源程序代码分析

Cb.vhd 二分频模块

library ieee;

use ieee.std_logic_1164.all;

entity cb is

port(clk:in std_logic;

q:buffer std_logic);

end;

architecture behave of cb is

begin

process(clk)

begin

if clk'event and clk='1' then - -每遇到一个上升沿,q翻转一次,实现分频 q<=not q;

end if;

end process;

end behave;

ctrl.vhd 状态控制模块

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

entity ctrl is

port(clk,spe:in std_logic;

en:out std_logic);

end;

architecture behave of ctrl is

type states is(s3,s2,s1,s0); - - 状态机说明部分,定义了状态机四个状态

signal current_state,next_state:states; - - 定义两个现态和次态两个信号,均为四个状态 begin

com:process(spe,current_state) - -主控组合进程

begin

case current_state is

when s0=> en <='0'; - - 状态S0

…… …… 余下全文

篇四 :EDA交通灯实验报告(Verilog程序)

EDA交通灯实验报告Verilog程序

南昌大学实验报告

学生姓名: 万志文 学 号: 5501112037 专业班级:中兴通信121班 实验类型:□ 验证 □ 综合 □ 设计 □ 创新 实验日期: 实验成绩:

实验三 交通灯控制器设计

(一) 实验目的

1、 学习与日常生活相关且较复杂数字系统设计; 2、 学习二进制码到BCD码的转换; 3、 学习有限状态机的设计应用。

(二) 设计要求

完成设计、仿真、调试、下载、硬件测试等环节,在型EDA实验装置上实现一个由一条主干道和一条乡间公路的汇合点形成的十字交叉路口的交通灯控制器功能,具体要求如下:

1、 有MR(主红)、MY(主黄)、MG(主绿)、CR(乡红)、CY(乡黄)、CG(乡绿)

六盏交通灯需要控制; 2、 交通灯由绿转红前有4秒亮黄灯的间隔时间,由红转绿没有间隔时间;

3、 乡间公路右侧各埋有一个串连传感器,当有车辆准备通过乡间公路时,发出请求信

号S=1,其余时间S=0; 4、 平时系统停留在主干道通行(MGCR)状态,一旦S信号有效,经主道黄灯4秒

(MYCR)状态后转入乡间公路通行(MRCG)状态,但要保证主干道通行大于一分钟后才能转换; 5、 一旦S信号消失,系统脱离MRCG状态,即经乡道黄灯4秒(MRCY)状态进入

MGCR状态,即使S信号一直有效,MRCG状态也不得长于20秒钟; 6、 控制对象除红绿灯之外,还包括分别在主干道和乡间公路各有一个两位十进制倒计

时数码管显示。

(三) 主要仪器设备

1、 微机

1台 1套 1套

2、 QuartusII集成开发软件 3、 EDA实验装置

(四) 实验步骤 1、交通灯的控制

状态转移图共有四个状态:

S1=MGCR(主绿乡红) S2=MYCR(主黄乡红) S3=MRCG(主红乡绿) S4=MRCY(主红乡黄)

…… …… 余下全文

篇五 :北邮数字电路实验报告——交通灯控制器(VHDL)(最全的)

                                                                                 

课题三:交通灯控制器

学院:信息与通信工程学院

专业:通信工程

姓名:马赛克

学号:09210246

课题三:交通灯控制器

一.设计课题的任务要求

(一)、实验目的

1. 熟练掌握 VHDL 语言和QuartusII 软件的使用;

2. 理解状态机的工作原理和设计方法;

3. 掌握利用 EDA 工具进行自顶向下的电子系统设计方法;

(二)、相关知识

本实验要利用 CPLD 设计实现一个十字路口的交通灯控制系统,与其他控制系统一样,本系统划分为控制器和受控电路两部分。控制器使整个系统按设定的工作方式交替指挥车辆及行人的通行,并接收受控部分的反馈信号,决定其状态转换方向及输出信号,控制整个系统的工作过程。

…… …… 余下全文

篇六 :eda实验报告(西电)

《数字逻辑与系统设计》实验报告

目录

一、      设计任务与要求............................................................................................. 2

二、      流程图与示意图............................................................................................. 3

三、      设计思路及原理图......................................................................................... 4

1、原理图............................................................................................................. 4

2、总体思路......................................................................................................... 4

3、状态转移图..................................................................................................... 5

四、设计实现............................................................................................................... 6

…… …… 余下全文

篇七 :交通灯实验报告EDA南昌大学

交通灯

module jiaotongdeng ( CPA,S,LED,SG,sel,x);  

input CPA;                //时钟

input S;                  //指明乡村路口是否有汽车的通行信号

output [5:0] LED;         //信号灯的显示

output [7:0] SG,x;        

output [2:0] sel;   //数码管的显示

reg CPB;

reg [5:0] LED;

reg [3:0] a;

reg [7:0] COUNTER;

reg [7:0] SG;

reg [2:0] sel;

reg [10:0]i;

reg flag1;

reg flag2;     

initial

begin

    flag2='b0;

    flag1='b0;

    COUNTER[7:0]='h60;

    LED[5:0]<=6'b001100;      //主干道是绿灯,乡村道是红灯,即MGCR

…… …… 余下全文

篇八 :十字路口交通灯课程设计实验报告

EDA课程设计报告

课 程 题 目

系 别 物理与电子工程学院 年 级 专 业 班 级 学 号 学生姓名 指导教师 设计时间 2014.12.21~2014.12.26

十字路口交通灯课程设计实验报告

十字路口交通灯课程设计实验报告

常熟理工学院电科122班EDA课程设计报告

目录

前言........................................................................................................... 3

第一章 VHDL系统概述 ........................................................................ 4

第二章 十字路口交通灯系统设计 ........................................................ 6

2.1 题目 ................................................................................................... 6

2.2要求 .................................................................................................... 6

…… …… 余下全文