20xxEDA课程设计题目

时间:2024.4.13

学号尾号为1、4、7的同学做题目一

学号尾号为2、5、8的同学做题目二

学号尾号为3、6、9、0的同学做题目三

题目一 出租车自动计费器

一、 设计目的

1.掌握出租车计费的工作原理

2.进一步熟悉用VHDL语言编写出租车计费程序

二、

三、 开发软件: QuartusII 设计任务

1、 设计一个出租车自动计费器,具有行车里程计费、等候时间计费、及起价三部分,用四位数码管显示总金额,最大值为99.99元;

2、 行车里程单价1.7元/公里,等候时间单价1.7元/5分钟,起价8元(3公里起价)。

3、 行车里程的计费电路将汽车行驶的里程数转换成与之成正比的脉冲数,然后由计数译码电路转换成收费金额,实验中以一个脉冲模拟汽车前进十米,则每100个脉冲表示1公里,然后用乘法器将里程数乘以每公里单价的比例系数,从而计算出具体费用。

4、 用LED显示行驶公里数,四个数码管显示收费金额。提示:

1、 等候时间计费需将等候时间转换成脉冲个数,用每个脉冲表示的金额与脉冲数相乘即得计费数,脉冲周期为1秒,例如60个脉冲表示1分钟,而5分钟收费1元。

2、 用3个LED显示等候时间。

3、 用加法器将几项收费相加,P=P1+P2+P3,

4、 P1为起价,P2为行车里程计费,P3为等候时间计费,用四个数码管表示总的计费结果。

四、

五、

六、

七、 设计方案:可选原理图输入法或VHDL语言,也可以两种方式结合使用。 验收方式:结束完毕后以实验报告的形式上交结果,其中包括VHDL程序,报告内容:包括设计任务分析,设计方案(基本原理)介绍,各模块功报告书写格式:采用A4纸张书写,封面书写课程设计题目,学生姓名,仿真图,原理图. 能介绍,调试过程,故障分析,结论,心得体会等内容。 班级,学号;除课程设计封面、VHDL程序(不包括程序注释,注释要求手写)及电路图仿真图可以打印外,其余内容均要求手写。

题目二 循环八路彩灯设计

一、设计目的

1. 掌握彩灯控制方法,了解状态机的应用

2. 掌握VHDL编程语言,了解实际设计中的优化方案

二、开发软件: QuartusII

三、设计任务

设计一个八路彩灯控制器,要求:

1、 彩灯明暗变化节拍为0.25S和0.5S两种节拍,可以自动交替运行,也可以采用按键是否按下进行切换。

2、 演示花型3种,分别为左移、右移、闪烁。每种花型显示时间维持1分钟。

3、 彩灯用发光二极管LED模拟。

4、 要求系统用两种节拍交替循环显示3种花型。

四、任务分析:

1、该系统的外加信号为时钟,输出为8路彩灯信号。彩灯控制器按一定的节拍改变8路输出的高低电平,控制彩灯按预定的规律亮灭,从而显示一定的花型。根据设计任务,彩灯控制器应包含时钟电路编码器和控制电路。时钟可以采用实验板上的时钟信号;编码器根据花型要求按节拍产生8位输出编码信号,控制彩灯按规律亮灭;控制电路则应控制编码器的节拍脉冲和3种花形的循环切换,同步整个系统工作。

2、 选择1HZ时钟脉冲作为系统时钟。

3、0.25S和0.5S秒信号由时钟分频获得。

4、循环显示方式自定:可以按照左移-闪烁-右移-左移方式显示,也可以按照其他方式显示。

四、 设计方案:可选原理图输入法或VHDL语言,也可以两种方式结合使用。 五、

六、 验收方式:结束完毕后以实验报告的形式上交结果,其中包括VHDL程序,报告内容:包括设计任务分析,设计方案(基本原理)介绍,各模块功仿真图,原理图。六、

能介绍,调试过程,故障分析,结论,心得体会等内容。

七、 报告书写格式:采用A4纸张书写,封面书写课程设计题目,学生姓名,

班级,学号;除课程设计封面、VHDL程序(不包括程序注释,注释要求手写)及电路图和仿真图可以打印外,其余内容均要求手写。

题目三 交通灯控制器

一、设计目的

1.了解交通灯的然灭规律

2. 掌握VHDL编程语言,了解实际设计中的优化方案

二、开发软件: QuartusII

三、设计任务

1、 设计一个交通信号灯控制器,由一条主干道和一条支干道汇合成十字路口,在每个入口处设置红、绿、黄三色信号灯,红灯亮禁止通行,绿灯亮允许通行,黄灯亮则给行驶中的车辆有时间停在禁行线外。

2、 红、绿、黄发光二极管作信号灯,。

3、 主干道处于常允许通行的状态,支干道有车来时才允许通行。主干道亮绿灯时,支干道亮红灯;支干道亮绿灯时,主干道亮红灯。

4、 主、支干道均有车时,两者交替允许通行,主干道每次放行45秒,支干道每次放行25秒,设立45秒、25秒计时、显示电路。

5、 在每次由绿灯亮到红灯亮的转换过程中,要亮5秒黄灯作为过渡,使行驶中的车辆有时间停到禁行线外,设立5秒计时、显示电路。 教学提示:

1、 选择1HZ时钟脉冲作为系统时钟。

2、 45秒、25秒、5秒定时信号可用顺计时,也可用倒计时,计时起始信号由主控电路给出,每当计满所需时间,即向主控电路输出“时间到”信号,并使计数器清零,由主控电路启、闭三色信号灯或启动另一计时电路。 显示结果:设计一个十字路口的交通灯控制器,能显示十字路口东西、南北两个方向的红、黄、绿灯的指示状态。用两组红、黄、绿三种颜色的灯分别作为东西、南北两个方向的红、黄、绿灯,变化规律为:东西绿灯亮,南北红灯亮→东西黄灯亮,南北红灯亮→东西红灯亮、南北绿灯亮→东西红灯亮,南北黄灯亮→东西绿灯亮,南北红灯亮….,这样依次循环。

南北方向是主干道车道,东西方向是支干道车道,要求两条交叉道路上的车辆交替运行,主干道每次通行时间都设为45秒,支干道每次通行时间都设为25秒,时间可设置修改。

在绿灯转为红灯时,要求黄灯先亮5秒钟,才能变换运行车道。

要求交通灯控制器有复位功能,在复位信号使能的情况下能够实现交通灯的自动复位,并且要求所有交通灯的状态变化,包括复位信号引起的均发生时钟脉冲的上升沿处。

八、

设计方案:可选原理图输入法或VHDL语言,也可以两种方式结合使用。

五、验收方式:结束完毕后以实验报告的形式上交结果,其中包括VHDL程序,仿真图,原理图,仿真图要求端口定义准确:例如:东方向的红灯:red_east

六、报告内容:包括设计任务分析,设计方案(基本原理)介绍,各模块功能介绍,调试过程,精度分析,故障分析,结论,心得体会等内容。

七、报告书写格式:采用A4纸张书写,封面书写课程设计题目,学生姓名,班级,学号;除课程设计封面、VHDL程序(不包括程序注释,注释要求手写)及电路图仿真图可以打印外,其余内容均要求手写。

课程设计时间安排:

电子1~4班:6月25日上午8:20~11:30

电子5~8班:6月27日、28日上午8:20~11:

地点:4B 309 电信学院机房;

下载时间另行通知,地点4B212 EDA实验室。30


第二篇:20xxEDA课程设计题目1


课程设计要求大家自主选题,根据个人爱好与擅长从中任选一个题目独立完成,也可以结组完成,每组人数不得超过2人。

题目一 出租车自动计费器

一、 设计目的

1.掌握出租车计费的工作原理

2.进一步熟悉用VHDL语言编写出租车计费程序

二、

三、 开发软件: QuartusII 设计任务

1、 设计一个出租车自动计费器,具有行车里程计费、等候时间计费、及起价三部分,用四位数码管显示总金额,最大值为99.99元;

2、 行车里程单价1.7元/公里,等候时间单价1.7元/5分钟,起价8元(3公里起价)。

3、 行车里程的计费电路将汽车行驶的里程数转换成与之成正比的脉冲数,然后由计数译码电路转换成收费金额,实验中以一个脉冲模拟汽车前进十米,则每100个脉冲表示1公里,然后用乘法器将里程数乘以每公里单价的比例系数,从而计算出具体费用。

4、 用LED显示行驶公里数,四个数码管显示收费金额。提示:

1、 等候时间计费需将等候时间转换成脉冲个数,用每个脉冲表示的金额与脉冲数相乘即得计费数,脉冲周期为1秒,例如60个脉冲表示1分钟,而5分钟收费1元。

2、 用3个LED显示等候时间。

3、 用加法器将几项收费相加,P=P1+P2+P3,

4、 P1为起价,P2为行车里程计费,P3为等候时间计费,用四个数码管表示总的计费结果。

四、

五、

六、

七、 设计方案:可选原理图输入法或VHDL语言,也可以两种方式结合使用。 验收方式:结束完毕后以实验报告的形式上交结果,其中包括VHDL程序,报告内容:包括设计任务分析,设计方案(基本原理)介绍,各模块功报告书写格式:采用A4纸张书写,封面书写课程设计题目,学生姓名,仿真图,原理图. 能介绍,调试过程,故障分析,结论,心得体会等内容。 班级,学号;课程设计封面、VHDL程序 顶层顶层电路图等。

题目二 循环八路彩灯设计

一、设计目的

1. 掌握彩灯控制方法,了解状态机的应用

2. 掌握VHDL编程语言,了解实际设计中的优化方案

二、开发软件: QuartusII

三、设计任务

设计一个八路彩灯控制器,要求:

1、 彩灯明暗变化节拍为0.25S和0.5S两种节拍,可以自动交替运行,也可以采用按键是否按下进行切换。

2、 演示花型3种,分别为左移、右移、闪烁。每种花型显示时间维持1分钟。

3、 彩灯用发光二极管LED模拟。

4、 要求系统用两种节拍交替循环显示3种花型。

四、任务分析:

1、该系统的外加信号为时钟,输出为8路彩灯信号。彩灯控制器按一定的节拍改变8路输出的高低电平,控制彩灯按预定的规律亮灭,从而显示一定的花型。根据设计任务,彩灯控制器应包含时钟电路编码器和控制电路。时钟可以采用实验板上的时钟信号;编码器根据花型要求按节拍产生8位输出编码信号,控制彩灯按规律亮灭;控制电路则应控制编码器的节拍脉冲和3种花形的循环切换,同步整个系统工作。

2、 选择1HZ时钟脉冲作为系统时钟。

3、0.25S和0.5S秒信号由时钟分频获得。

4、循环显示方式自定:可以按照左移-闪烁-右移-左移方式显示,也可以按照其他方式显示。

四、 设计方案:可选原理图输入法或VHDL语言,也可以两种方式结合使用。 五、

六、 验收方式:结束完毕后以实验报告的形式上交结果,其中包括VHDL程序,报告内容:包括设计任务分析,设计方案(基本原理)介绍,各模块功仿真图,原理图。

能介绍,调试过程,故障分析,结论,心得体会等内容。

七、报告书写格式:采用A4纸张书写,封面书写课程设计题目,学生姓名,班级,学号;课程设计封面、VHDL程序 顶层顶层电路图等。

题目三 交通灯控制器

一、设计目的

1.了解交通灯的然灭规律

2. 掌握VHDL编程语言,了解实际设计中的优化方案

二、开发软件: QuartusII

三、设计任务

1、 设计一个交通信号灯控制器,由一条主干道和一条支干道汇合成十字路口,在每个入口处设置红、绿、黄三色信号灯,红灯亮禁止通行,绿灯亮允许通行,黄灯亮则给行驶中的车辆有时间停在禁行线外。

2、 红、绿、黄发光二极管作信号灯,。

3、 主干道处于常允许通行的状态,支干道有车来时才允许通行。主干道亮绿灯时,支干道亮红灯;支干道亮绿灯时,主干道亮红灯。

4、 主、支干道均有车时,两者交替允许通行,主干道每次放行45秒,支干道每次放行25秒,设立45秒、25秒计时、显示电路。

5、 在每次由绿灯亮到红灯亮的转换过程中,要亮5秒黄灯作为过渡,使行驶中的车辆有时间停到禁行线外,设立5秒计时、显示电路。 教学提示:

1、 选择1HZ时钟脉冲作为系统时钟。

2、 45秒、25秒、5秒定时信号可用顺计时,也可用倒计时,计时起始信号由主控电路给出,每当计满所需时间,即向主控电路输出“时间到”信号,并使计数器清零,由主控电路启、闭三色信号灯或启动另一计时电路。 显示结果:设计一个十字路口的交通灯控制器,能显示十字路口东西、南北两个方向的红、黄、绿灯的指示状态。用两组红、黄、绿三种颜色的灯分别作为东西、南北两个方向的红、黄、绿灯,变化规律为:东西绿灯亮,南北红灯亮→东西黄灯亮,南北红灯亮→东西红灯亮、南北绿灯亮→东西红灯亮,南北黄灯亮→东西绿灯亮,南北红灯亮….,这样依次循环。

南北方向是主干道车道,东西方向是支干道车道,要求两条交叉道路上的车辆交替运行,主干道每次通行时间都设为45秒,支干道每次通行时间都设为25秒,时间可设置修改。

在绿灯转为红灯时,要求黄灯先亮5秒钟,才能变换运行车道。

要求交通灯控制器有复位功能,在复位信号使能的情况下能够实现交通灯的自动复位,并且要求所有交通灯的状态变化,包括复位信号引起的均发生时钟脉冲的上升沿处。

七、

设计方案:可选原理图输入法或VHDL语言,也可以两种方式结合使用。

五、验收方式:结束完毕后以实验报告的形式上交结果,其中包括VHDL程序,仿真图,原理图,仿真图要求端口定义准确:例如:东方向的红灯:red_east

六、报告内容:包括设计任务分析,设计方案(基本原理)介绍,各模块功能介绍,调试过程,精度分析,故障分析,结论,心得体会等内容。

七、报告书写格式:采用A4纸张书写,封面书写课程设计题目,学生姓名,班级,学号;课程设计封面、VHDL程序 顶层顶层电路图等。

课程设计时间安排:

上极时间安排:

17周 周四下午8:30-12:00【6.20日】;

18周 周一下午 14:00-17:30【6.24日】;

周三上午8:30-12:00【6.26日】;

地点:4B 309 电信学院机房;

下载时间另行通知,地点4B212 EDA实验室。

更多相关推荐:
工程预算课程设计总结

课程设计是培养学生综合运用所学知识,发现,提出,分析和解决实际问题,锻炼实践能力的重要环节,是对学生实际工作能力的具体训练和考察过程.随着工程技术发展的日新日异,工程造价已经成为当今建筑工程领域最为重要的管理环…

c++课程设计总结

课程设计总结经过一个学期对《C++程序设计》的学习,我学习到了基本的理论知识,了解到了C++语言程序设计的思想,这些知识都为我的课程实践和进一步的学习打下了坚实的基础。在为期近两周的C++课程设计中,我体会颇多…

化工原理课程设计总结

《化工原理课程设计》总结本学期顺利完成了化学工程与工艺专业共100名同学的化工原理课程设计,总体来看学生的工艺计算、过程设计及绘图等专业能力得到了真正有效的提高,可以较好地把理论学习中的分散知识点和实际生产操作…

PLC自动门课程设计心得体会

PLC自动门课程设计心得体会:通过此次C语言程序设计实践本人实在是获益不浅!C语言是上个学期开的课程所以这个学期并没怎么看过当要开始设计的时候还真不知从哪下手!结果第一次的上机我傻坐着不知道该做什么后来就写了几…

课程设计心得体会

课程设计心得体会在初学C语言的一个学期后,学校组织我们进行了C语言实训,尝试编写一些有难度的程序。在为期两周的时间中,同组同学共同的感受是:C语言实训和平时上课所接触的程序是完全不同的,所经受的考验是平时所无法…

综合实践活动课程设计练习题(判断题、填空题、名词解释、简答题)

单选题第1题(3.0)分下列以研究性为主的探究活动的实施程序哪个是正确的√A、产生问题-确定主题-组建小组-制定计划-开展活动-总结成果-展示交流-评价反思B、产生问题--组建小组-确定主题-制定计划-开展活动…

20xx年《管理信息系统》课程设计论文选题

20xx年《管理信息系统》课程设计论文选题一、管理信息系统设计与开发1、IT行业市场情报管理信息系统设计与开发2、某工业企业生产业务处理信息系统设计与开发3、某产品质量管理信息系统设计与开发4、某工业企业设备管…

消防课程设计范文.doc

课程设计课题名称所在班级同组姓名指导教师目录1前言12简介13火灾危险性分析231学生公寓的火灾特点232学生公寓的火灾危险性等级233学生公寓的耐火等级2331建筑物耐火等级的划分2332建筑物耐火等级的选定...

化工原理课程设计范文

化工原理课程设计3题目吸收量为2400mh水吸收丙酮过程填料塔设计教学院专业班级学生姓名学生学号指导教师20xx年12月24日I目录任务书1摘要2第一章绪论311吸收技术概况312吸收设备的发展313吸收过程在...

毕业论文及课程设计参考模版

摘要摘要电信营业厅顾客排队是一个常见的现象为了提高顾客满意度并为实现电信企业转型提供保障必须解决好这个问题而解决该问题的基本目标是平稳波动的顾客需求与电信营业厅有限的服务能力之间的矛盾本文基于排队论和需求管理的...

课程设计排版范本

课程设计课题名称我校顺枫公寓A6栋的消防现状分析与改进专业名称安全工程所在班级安本0803班设计者解燕同组人姜琼学号0860124031008601240212指导教师胡鸿湖南工学院课程设计任务书安全与环境工程...

创新课程设计范例

机械创新设计课程设计院系班级成员指导老师设计题目1年月日多功能平口钳设计方案一设计任务简述多功能平口钳为了实现平口钳的多功能化解决平口钳功能单一的问题设计出一种多功能平口钳其我们将钳口作成燕尾槽结构并配合以不同...

课程设计(518篇)