电子综合设计结题报告模板

时间:2024.4.5

12级创新实践班结题报告

电子综合设计

                                

                                

指导教师                            

                                          


一、本课程的目的

二、本课程的内容

熟悉和了解原理图的输入和设计,PCB版图的设计,电路仿真

 三、实验内容

1,单管放大电路

一、    .实验目的

1.     掌握载入管脚封装和网络时常见错误的修改与排除方法

2.     理解手工修改导线的必要性和操作方法

3.     了解添加电源端点的方法

4.     掌握添加文字标注和尺寸标注的方法

5.     了解数字电路pcb板制作过程的常见错误和排除方法

6.     熟悉Protel 99 SE电路图设计窗口,学会窗口设置的方法。

7.     学会图纸设置的方法。

8.     学会网格、电气接点和光标的设置方法。

9.     学会系统字体、文档组织的设置方法。

二、实验内容

新建原理图文件Computer.sch,并启动原理图设计编辑器,如图1-1所示,单击computer.sch启动原理图编辑器(1)   启动Protel 99 SE,创建设计数据库Computer.ddb,。

(2)  打开状态栏和命令栏;打开工具栏,将它固定在屏幕上方;打开布线工具栏,将它固定在屏幕的下方;打开绘图工具栏和常用器件工具栏,将它设置为浮动窗口。关闭PLD工具栏、信号仿真源工具栏和电源及接地工具栏,如图1-2所示。                                   图1-1 启动原理图设计编辑器

(3)  加载常用的原理图元件库:Miscellaneous Devices.ddb、Protel DOS Schematic.ddb、Intel Databooks.ddb和TI Databooks.ddb,如图1-3所示。使用浏览元件库窗口查看元件库中所有元件的元件名及其电路符号,熟悉原理图元件库,如图1-4所示。

                            图1-2 打开、关闭工具栏

 

              图1-3  加载常用的原理图元件库             图1-4  使用浏览元件库窗口查看元件

(4)  将图纸尺寸设置为标准图纸A4,图纸方向为横向,图纸标题块设置为“standard”形式,图纸颜色为默认设置,可见栅格和电器栅格设置为2,如图1-5所示。

                               图1-5  设置图纸格式

(5)  将网格设置为线状网格,光标设置为90度大光标,如图1-6所示。

                          图1-6  设置网格和光标

(6)  建立文档组织。在如图1-7所示的选项卡中详细填写所有信息。

                            图1-7  建立文档组织选项卡

,实验电路图

2.电路仿真

一、实验目的:

1、掌握用protel  99 se进行电路仿真的步骤。

2、掌握常用的电路仿真方式及其应用。

3、了解电路元件的PSPICE仿真模型及创建仿真元件的方法。

二、实验设备:

装有protel  99 se  软件的PC机一台。

三、实验内容:

1编辑原理图;2放置仿真激励源(包括直流电源);3放置节点网络标号;4选择仿真方式并设置仿真参数;5执行仿真操作;6观察、分析仿真测试数据电路仿真基础,元器件参数设置;

·          编辑仿真用原理图只能用.Sim.ddb中原件

·          一个节点只能有一个网络标号,在希望观察电压的节点外注网络标号。

·          在原器件未固定钱,按下tab键进入原件属性设置窗,在窗口内,单击“Attributes”标签,设置原件大小,序号;再单击”Part Field(仿真参数)标签,输入原件参数在设置原件参数域时,对于可选参数,一般用缺省值,除非对原件属性各项含义非常熟悉,并认为却用必要修改。

,实验步骤

四、收获与体会

现在的电子技术发展方向于数字化,它就是把现实中的模拟物理量转化为二进制数字信号来处理及传输,其抗干扰能力非常强大。配以软件,数字电路的功能就非常的强大。现实的电子产品中到处都可以看到数字电路,如数字移动通信电话机,数字电视机,电脑…等等 

这一课程学习使我将课堂上的理论知识有了进步的了解,并增强了对数字电子技术这门课程的兴趣。了解电子综合设计.同时也发现自对数电知识和电子设计软件掌握得不够。因此使我进一步熟悉了软件的使用,同时在电脑的电子设计和绘图操作上有了进一步提高。我认识到电子逻辑电路软件每一步都要细心认真,因为任何一步出错的话,都会导致后面的环节发生错误。就一定要细心确保全部无误,否则任何一个错误都会导致生成错误,做成实物后就无可挽救了。在电路的焊接中,焊盘的大小,线路的大小,以及线间的距离等参数都要设置好,因为这关系到下一步的实物焊接。在学习过程中遇到了一些问题,在增长知识的同时增强解决问题和动手的能力,锻炼我做事细心、用心、耐心的能力。这一课程学习,使我向更高的精神和知识层次迈向一大步。在以后的学习生活中,我会努力学习,培养自己独立思考的能力.


第二篇:10电信综合电子设计报告模板


 

综合电子设计报告

               

院系:电子工程系

班级:10电子信息2

姓名:刘耀瑞

同组:沙雨轩

学号:01410213

东南大学成贤学院

2013.9


目录

第一章  课题与功能描述........................................................................................... 1

      1.1 课题内容 1

1.2 课题背景 1

第二章  系统硬件工作原理....................................................................................... 1

第三章  系统软件设计与调试................................................................................... 1

第四章  总 结............................................................................................................. 1

参考文献....................................................................................................................... 1

附 录............................................................................................................................. 1


第一章  课题与功能描述

1.1 课题内容

1. 用Max+Plus II,通过ROM查表法实现对方波信号的DDS合成。

2. 用Max+Plus II,通过ROM查表法实现对ASK调制信号的DDS合成。

3. 用Max+Plus II,通过ROM查表法实现对PSK调制信号的DDS合成。

4. 用Max+Plus II,通过ROM查表法实现对FSK调制信号的DDS合成。

1.2 课题背景

DDS同 DSP(数字信号处理)一样,是一项关键的数字化技术。DDS是直接数字式频率合成器(Direct Digital Synthesizer)的英文缩写。DDS是一种全数字化的频率合成器,由相位累加器、波形ROM、D/A转换器和低通滤波器构成。时钟频率给定后,输出信号的频率取决于频率控制字,频率分辨率取决于累加器位数,相位分辨率取决于ROM的地址线位数,幅度量化噪声取决于ROM的数据位字长和D/A转换器位数。与传统的频率合成器相比,DDS具有低成本、低功耗、高分辨率和快速转换时间等优点,广泛使用在电信与电子仪器领域,是实现设备全数字化的一个关键技术。

直接数字频率合成(DDS─Digital Direct Frequency Synthesis)技术是一种新的频率合成方法,是频率合成技术的一次革命,JOSEPH TIERNEY等3人于1971年提出了直接数字频率合成的思想,但由于受当时微电子技术和数字信号处理技术的限制,DDS技术没有受到足够重视,随着电子工程领域的实际需要以及数字集成电路和微电子技术的发展,DDS技术日益显露出它的优越性。

DDS有如下优点:(1) 频率分辨率高,输出频点多,可达 个频点(N为相位累加器位数);(2) 频率切换速度快,可达us量级;(3)  频率切换时相位连续;(4) 可以输出宽带正交信号;(5) 输出相位噪声低,对参考频率源的相位噪声有改善作用;⑹可以产生任意波形;⑺ 全数字化实现,便于集成,体积小,重量轻。

在各行各业的测试应用中,信号源扮演着极为重要的作用。但信号源具有许多不同的类型,不同类型的信号源在功能和特性上各不相同,分别适用于许多不同的应用。目前,最常见的信号源类型包括任意波形发生器,函数发生器,RF信号源,以及基本的模拟输出模块。信号源中采用DDS技术在当前的测试测量行业已经逐渐称为一种主流的做法。

1.3 课程目的

通过实验巩固AT89S51/AT89S52单片机程序设计、VHDL语言以及EDA技术。能够使用单片机实现数码管的显示,并熟练掌握用VHDL语言对FPGA编程实现方波信号和ASK、PSK、FSK等调制信号的DDS频率合成。提高对以前学过的单片机、通信原理、EDA技术等科目的理论知识的综合工程应用能力。


第二章 调制原理

2.1 DDS频率合成原理

DDS(Direct Digital Synthesizer)即直接数字合成器,是一种新型的频率合成技术,具有较高的频率分辨率,可以实现快速的频率切换,并且在改变频率的同时能够保持相位的连续,很容易实现频率、相位和幅值的数控调制。因此,在现代电子系统及设备的频率源设计中,尤其在通信领域,DDS应用越来越广泛。

对于正弦信号发生器。首先对DDS基本原理作一简单介绍。对于正弦信号发生器,它的输出可表示为

其中,指该信号发生器的输出信号波形,指输出信号对应的频率。对于时间是连续的。为了用数字逻辑实现该表达式,必须进行离散化处理。用基准时钟 CLK 进行抽样,令正弦信号的相位为

在一个 CLK 周期,相位的变化量为

其中,指 CLK 的频率,对于可以理解成“满”相位。为了对进行数字量化,把切割成份,由此每个 CLK 周期的相位增量用量化值来表述,即

为整数。由此可得

显然,信号发生器的输出可描述为

其中,指前一个 CLK 周期的相位值,同样也可得出

通过推导可以看出,只要对相位的量化值进行简单的累加运算,就可以得到正弦信号的当前相位值;而用于累加的相位增量量化值,决定了信号的输出频率,并呈现简单的线性关系。直接数字合成器DDS就是根据上述原理而设计的数字控制频率合成器。

2.2 ASK调制原理

振幅键控是利用载波的幅度变化来传递数字信息,而其频率和初始相位保持不变。在2ASK中,载波的幅度只有两种变化状态,分别对应二进制信息的“0”或“1”。一种常用的、也是最简单的二进制振幅键控方式称为通-断键控(On Off Keying, OOK),其表达式为

在OOK中,某一种符号(“0”或“1”)用没有电压来表示。

2ASK信号的一般表达式为

其中

式中:为马原持续的时间;为持续时间为的基带脉冲波形。

2ASK/OOK信号的产生方法通常有两种:模拟调制法(相乘器法)和键控法。

2.3 PSK调制原理

相移键控是利用载波的相位变化来传递数字信息,而振幅和频率保持不变。在2PSK中,通常用初始相位0和分别表示二进制“1”和“0”。因此,2PSK信号的时域表达式为

其中, 表示第n个符号的绝对相位:

因此

由于表示信号的两种码元的波形相同,极性相反,故2PSK信号一般可以表述为一个双极性全占空矩形脉冲序列与一个正弦载波的相乘,即

其中

 

2.4 FSK调制原理

频移键控是利用载波的频率变化来传递数字信息。在2FSK中,载波的频率随二进制基带信号在两个频率点间变化。故其表达式为

一个2FSK信号可以看成是两个不同载频的2ASK信号的叠加。因此,2FSK信号的时域表达式又可以写成

分别是第n个信号码元(1或0)的初始相位。

第三章  系统软件设计与调试

第四章 软件设计

4.1            用DDS实现方波信号的合成

本实验用三个VHDL代码模块即可实现,其主要代码如下:

方波分频器如下:

LIBRARY IEEE;                     

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_Arith.ALL;

USE IEEE.STD_LOGIC_Unsigned.ALL;

ENTITY div IS             

GENERIC(N:Integer:=3);

Port

( Clk:IN STD_LOGIC;

  q:OUT STD_LOGIC);

END ENTITY;

ARCHITECTURE one OF div IS

SIGNAL x: STD_LOGIC;              

BEGIN

      PROCESS(Clk)

VARIABLE cnt:INTEGER RANGE 0 TO 160;

BEGIN

IF clk'event and clk='1' THEN

      IF cnt<160 THEN

             cnt:=cnt+1;

            

      ELSE cnt:=0;

         x<=not x;

            

      END IF;

END IF;

q<=x;

END PROCESS;

END one;

64位地址信号累加器:

LIBRARY IEEE;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

entity counter is

port(

     clk : in std_logic;

     cnt_out : out std_logic_vector(5 downto 0)

);

end;

architecture one of counter is

begin

 process(clk)

 variable cnt : std_logic_vector(5 downto 0);

 begin

     if clk' event and clk='1' then

         cnt := cnt+1;                                          

     end if;

 cnt_out <= cnt;

 end process;

end one;

方波数据如下:

WIDTH = 8;

DEPTH = 64;

ADDRESS_RADIX = HEX;

DATA_RADIX = DEC;

CONTENT BEGIN

00 : 0;

01 : 0;

02 : 0;

03 : 0;

04 : 0;

05 : 0;

06 : 0;

07 : 0;

08 : 0;

09 : 0;

0A : 0;

0B : 0;

0C : 0;

0D : 0;

0E : 0;

0F : 0;

10 : 0;

11 : 0;

12 : 0;

13 : 0;

14 : 0;

15 : 0;

16 : 0;

17 : 0;

18 : 127;

19 : 127;

1A : 127;

1B : 127;

1C : 127;

1D : 127;

1E : 127;

1F : 127;

20 : 0;

21 : 0;

22 : 0;

23 : 0;

24 : 0;

25 : 0;

26 : 0;

27 : 0;

28 : 127;

29 : 127;

2A : 127;

2B : 127;

2C : 127;

2D : 127;

2E : 127;

2F : 127;

30 : 0;

31 : 0;

32 : 0;

33 : 0;

34 : 0;

35 : 0;

36 : 0;

37 : 0;

38 : 127;

39 : 127;

3A : 127;

3B : 127;

3C : 127;

3D : 127;

3E : 127;

3F : 127;

END;

4.2 ASK调制器

 

参考文献

附 录

程序清单:

要求:正文小四,宋体,行距1.5

      一级标题宋体,小二,居中加粗

      图和表要有标识(图标写下面,表标写上面),如:图1-1,表1-1

      要标页数(封面除外),目录页脚是大写罗马数字,正文是阿拉伯数字

      附录中程序清单可分栏(五号)

更多相关推荐:
课题结题报告

中学历史教学中有效利用非连续性文本培养史料分析能力的研究课题结题报告一课题提出的背景1新课标下高考考试的客观需要回顾20xx年江苏高考历史试题整份试卷材料非常丰富在20道选择题中有18道使用材料给我们的直观感受...

结题报告参考范文1

课题结题报告范文时间20xx0712113006来源省心范文网作者省心范文网幼儿生活自理能力现状调查与对策研究课题结题报告一课题的提出著名教育家陈鹤琴先生提出凡是儿童自己能做的应当让他自己做幼儿园教育指导纲要中...

一结题报告的要求和格式

一结题报告的要求和格式一结题报告内容要求正文内容应包括1立项与研究的目的意义2项目研究过程与方法概述包含研究过程研究方法资料与数据来源等方面的简述3项目研究的基本内容4项目研究成果的理论与实践价值5项目研究成果...

课题结题报告的格式要求范文

课题结题报告的格式要求范文课题结题报告格式要求:1、课题结题报告背景及立项(800~1000字左右)2、课题结题报告简介(500字左右)3、课题结题报告主持人及课题结题报告实验学校建议包含以下部分:领导小组成员…

结题报告模板

结题报告模板,内容附图。

个人课题结题报告模板

连云港市教育科学十二五规划课题短期编号XG20xx0918低年级拼音识字方法与语言训练整合的案例研究结题报告连云港市某某小学徐某某一课题研究的基本概况本课题于20xx年12月份申报20xx年1月份被市教科所批准...

结题报告范本

一课题的提出灰色的天空中弥漫着恶臭绿色学校呼唤垃圾环保21世纪的环保是大众参与的环保每个人从我做起是环境问题解决的基础社会的发展使越来越多的人认识到保护环境不仅仅是政府的事而且是每一个公民的义务和责任杨府山垃圾...

结题报告

结题报告一课题的概述1提出背景在语文教学中作文教学占据半壁江山而作文教学又是许多语文教师的难点学生也没有写作兴趣视作文为畏途不愿写作文每逢作文颇有大难临头之感苦不堪言许多学生作文时冥思苦想绞尽脑汁还是写不出来写...

鼎力推荐一_结题报告的要求和格式

11111一结题报告的要求和格式一结题报告内容要求正文内容应包括1立项与研究的目的意义2项目研究过程与方法概述包含研究过程研究方法资料与数据来源等方面的简述3项目研究的基本内容4项目研究成果的理论与实践价值5项...

结题报告

学科分类号二级79067云南师范大学大学生科研训练基金项目项目名称项目类型主持人起止年月20xx1101结题日期20xx1128联系电话150xxxxxxxx结题报告书官渡古镇旅游发展方向一般项目王冉冉至20x...

结题报告前言

前言目前虽然国内有关学生学习习惯研究颇多很多学校都研究出符合本校实际的培养学生良好学习习惯的方法但这些培养方法大都侧重于城镇中小学的学生对于农村中小学学生的学习习惯研究却很少本校地处沂蒙革命老区的腹地孩子们的现...

课题结题报告封面模板

高中研究型课题结题报告同类商店开店规律的研究为什么肯德基与麦当劳总是在相邻的地方开店研究成员朱婉婧课题组长姚旭李长贵王林籽黄心怡所在班级1004班指导老师姜小明二0一0年九月二0一一年六月目录一整体报告一二三二...

结题报告模板(52篇)