四选一数据选择器实验报告

时间:2024.4.14

四选一数据选择器

11微电子 黄跃   1117426021

【实验目的

1.四选一数据选择器,

2.学习Verilog HDL文本文件进行逻辑设计输入;

3.学习设计仿真工具modelsim的使用方法;

【实验内容】

1. 实现四选一数据选择器的“ Verilog ”语言设计。

2. 设计仿真文件,进行验证。

【实验原理】

数据选择器又称为多路转换器或多路开关,它是数字系统中常用的一种典型电路。其主要功能是从多路数据中选择其中一路信号发送出去。所以它是一个多输入、单输出的组合逻辑电路。

4选1数据选择器的元件符号如图一所示,其中D0、D1、D2、D3是4位数据输入端,A0和A0是控制输入端,Y是数据输出端。当A1A0=00时,输出Y=D1;A1A0=01时,Y=D1;A1A0=10时,Y=D2;A1A0=11,Y=D3。 。

 

由真值表写出输出逻辑表达式

        

由逻辑表达式做出逻辑电路图。

 

程序源代码

module mux4_1(sel,in,out);

    input [1:0] sel;

    input [3:0] in;

    output out;

    reg out;

   

    always@(sel or in) begin

        case ({sel[1],sel[0]})

        2'b00: out=in[0];

        2'b01: out=in[1];

        2'b10: out=in[2];

        2'b11: out=in[3];

        default: out=1'bx;

        endcase

    end

Endmodule

测试程序代码如下:

module test_mux4_1;

  reg [1:0] S;

  reg [3:0] IN;

  wire Y;

  mux4_1 M1(.sel(S),

            .in(IN),

            .out(Y));

  always #10 IN[0]=~IN[0];

  always #20 IN[1]=~IN[1];

  always #40 IN[2]=~IN[2];

  always #80 IN[3]=~IN[3];

initial

  begin S=1'b0;IN=4'h0;

    #100 $stop;

  end

  always #10 S=S+1;

endmodule

【仿真和测试结果】

【实验心得和体会】

这次实验与上次相比有明显的进步,通过这次实验我对modelsim的应用更加得心应手,深切的体会到了verilog是一种描述性语言,这次实验总的来说是比较顺利的,但在实验过程中还是遇到了一些问题,比如端口的匹配问题,在写程序的时候误将位宽写在了变量名的后面,虽然程序能够运行但有警告,仿真波形是错误的,可见在写程序时警告有时也是致命的,这要求我们在学习的过程中思想一定要严谨!其次在做实验时一定要多想,例如在学习这门课时,书上说在模块外部输入可以是wire型或reg型,但在写程序时激励模块往往要初始化数据,所以编程时其类型往往声明为reg型,通过这个例子我明白了书上所说的有时往往是一个比较笼统的,而更多的需要我们自己去实践、探索、勤思考,只有这样我们才能把书本上的知识转化为属于我们自己的知识,才能在学习的道路上走的更远!


第二篇:EDA技术4选1多路选择器实验报告


实验报告

更多相关推荐:
数据选择器实验报告

实验三数据选择器实验人员班号学号一实验目的1熟悉并掌握数据选择器的功能2用双4选1数据选择器74LS153设计出一个16选1的数据选择器3用双4选1数据选择器74LS153设计出一个全加法器二实验设备数字电路实...

电子技术实验报告3-数据选择器及其应用(葛楚雄)

学生实验报告

实验报告:数据选择器

实验报告:数据选择器,内容附图。

(集成电路应用设计实验报告)数据选择器及其应用

电子科技大学成都学院课程结题报告20xx年6月数据选择器及其应用一实验器材设备元器件1数字模拟实验装置1台2数字电路实验板1块374LS00和74LS153芯片各1片将74LS153按下图所示电路图连接将地址端...

数据选择器实验报告

数电实验二姓名:##学号:##组别:5实验题目:数据选择器实验目的:了解数据选择器的工作原理;熟悉数据选择器的引脚及其作用;熟悉数据选择器的工作过程以及学习简单的数据选择器的应用。实验内容:1:利用两片八选一的…

实验三 8选1数据选择器实验报告

实验三八选一数据选择器一实验目的1熟悉QuartusII60软件的使用和FPGA设计流程2用VHDL语言进行八选一数据选择器的设计二实验步骤一建立文件夹在D盘xingming的文件夹下建立一个名为choice8...

实验三 数据选择器及其应用

学生实验报告

数据选择器极其应用实验报告

数据选择器的应用一实验目的了解74LS0074LS8674LS153芯片的内部结构和功能了解数据选择器的结构和功能了解全加器和全减器的结构和功能学习使用数据选择器74LS153设计全加器和全减器进一步熟悉逻辑电...

数据选择器实验报告

浙江万里学院实验报告课程名称电子技术基础实验名称数据选择器实验专业班级信息工程131姓名大帅哥一实验目的1熟悉74LS153型数据选择器的逻辑功能2了解74LS153的应用二实验设备及器件1TDDS实验箱274...

数据选择器 实验报告

深圳大学实验报告实验时间实验报告提交时间教务处制ByDZ

实验3 数据选择器及其应用

实验三数据选择器及其应用一实验目的1掌握中规模集成数据选择器的逻辑功能及使用方法2学习用数据选择器构成组合逻辑电路的方法二实验原理数据选择器又叫多路开关数据选择器在地址码或叫选择控制电位的控制下从几个数据输入中...

多路选择器实验报告

实验报告课程名称逻辑设计与FPGA项目名称多路选择器的设计姓名专业微电子班级13微电子学号同组成员实验日期20xx年10月实验预习成绩百分制实验指导教师签字实验操作成绩百分制实验指导教师签字实验报告成绩百分制实...

数据选择器实验报告(33篇)