EDA实验报告5

时间:2024.4.13

第二篇:EDA实验报告 (5)


试验七 VHDL有限状态机设计

一 实验目的:

本次试验是一个设计型试验,参照给出的状态转移图,利用VHDL描述进行状态机设计。通过本次试验,使学生深入理解状态机的VHDL描述的固定语句表达方法,掌握状态机设计的状态说明方法、主控时序进程和主控组合进程的功能及实现方法。

二 实验仪器:

1、PC机一台

2、QUARTUS2软件

三 实验原理:

根据给出的状态转换图,编写VHDL程序并且进行编译和仿真。

EDA实验报告5

图表 1实验原理图

四 实验步骤(自行给出详细步骤):

1 编辑VHDL语言程序

library ieee;

use ieee.std_logic_1164.all;

entity xc is

port(input:in std_logic;

reset:in std_logic;

clk:in std_logic;

y:out std_logic_vector(1 downto 0));

end xc;

architecture behav of xc is

type state_type is(s0,s1,s2,s3);

signal present_state,next_state:state_type; begin

process(reset,clk)

begin

if(reset='1') then

present_state<=s0;

elsif(clk'event and clk='1') then present_state<=next_state;

end if;

end process;

process(present_state,next_state,input) begin

case present_state is

when s0=>if(input='1') then next_state<=s1; else

next_state<=s0; end if;

y<="00";

when s1=>if(input='0') then

next_state<=s2;

else next_state<=s1; end if; y<="01";

when s2=>if(input='1') then

next_state<=s3; else

next_state<=s2; end if;

y<="10";

when s3=>if(input='0') then

next_state<=s0; else

next_state<=s3; end if;

y<="11";

end case;

end process;

end behav;

2 编译并进行波形仿真:

EDA实验报告5

仿真结果:

EDA实验报告5

五 实验总结:

实验完成良好,就是到后面仿真的时候付值出现了问题。使得实验得不到良好的仿真结果,经老师说教后再向同学了解讨论后得出了比较看得过去的仿真结果图!!

实验过程中暴露了很多的问题,究其原因还是对课本的不熟悉,对编程的不掌握。下课要多多看书!!

更多相关推荐:
3d实验报告

实验报告实验报告院系信息科学与技术学院课程名称三维动画设计日期实验报告九江学院实验报告实验报告实验报告实验报告实验报告实验报告院系信息科学与技术学院课程名称三维动画设计日期九江学院3D王燕信A1131实验报告

3D实验报告

景德镇陶瓷学院设计艺术学院实验报告课程名称计算机辅助设计三维设计姓名戈瑞琪教师董翠专业班级08陶设4班成绩日期实验项目名称1基础工具2建模3材质4灯光摄影机5渲染实验学时1基础工具8学时2建模32学时3材质16...

3d实习报告

前言所谓三维设计就是利用电脑进行设计与创作以产生真实的立体场景与动画虽然在这个学期对3DSMAX的接触才知道3DSMAX是一个广泛应用于游戏开发后期制造影视特效及专业视觉设计领域的一款功能强大的三维设计软件它是...

unity 3d实验报告

江南大学Unity3D实验报告实验题目班级姓名学号日期一目的与要求1理解使用Unity3D制作游戏的基本过程和方法2理解Unity3D软件的操作界面3掌握地形的创建和简单编辑方法4掌握游戏场景中光源的添加和设置...

3D打印实验报告

姓名:学号:指导教师:20xx年x月x日一、技术介绍3D打印是一种通过材料逐层添加制造三维物体的变革性、数字化增材制造技术,它将信息、材料、生物、控制等技术融合渗透,将对未来制造业生产模式与人类生活方式产生…

3D MAX过程训练实验报告

3D MAX过程训练实验报告,内容附图。

3d实验报告建筑材质 灯光

本科学生综合性实验报告项目组长郭恺学号0094326成员郭恺专业09环艺2班实验项目名称建筑材质灯光设计指导教师及职称陈茜讲师开课学期20xx至20xx学年二学期上课时间20xx年3月30日学生实验报告一实验综...

3D电子沙盘实验报告

科学技术学院实验报告实验主题3D电子沙盘实验学生姓名郭宏琴学号70402120xx专业班级工商管理121班实验地点实验楼109实验时间20xx年12月22日12月24日一实验目的通过实训让我们体会了解现代企业运...

JAVA开放性实验报告贪吃蛇

电子与信息工程系开放性实验报告JAVA程序设计开放性实验报告专业班级学号姓名实验报告实验2贪吃蛇游戏设计4学时1实验内容1创建软件主窗体和相关控制菜单在窗体中以图形模拟蛇的形状使用键盘控制图形在窗体中任意移动模...

3D打印机实训报告

快速成型与快速模具3D打印实训报告书姓名:***学号:**********班级:12机械(2)班专业:机械设计与制造学院:机电工程与自动化学院学校:黎明职业大学指导老师:一、3D打印机的介绍1、3D打印机的介绍…

3D MAX过程训练实验报告

电脑三维表现过程训练实验报告

实验报告 -3D打印机

人机交互技术实验报告实验名称3D打印机原理及构造班级数媒1301组长学号姓名刘家旺组员学号姓名沈苏明成绩实验四3D打印机原理及构造一实验目的了解学院使用的3D打印机的工作原理二实验要求了解学院使用的3D打印机的...

3d实验报告(35篇)