篇一 :EDA实训总结报告

合肥学院学生EDA实训总结报告

合肥学院电子系

系 别电子系 专 业电子信息工程 班级

姓 名年少轻狂 学号 指导老师 成绩

20xx年x月x日

合肥学院电子系 09级电子信息工程,姓名:李金山学号:0905075006 摘要:经过两周的EDA实训,我也基本掌握了这个软件的使用方法,也体会到了这款软件的实用性。我也通过练习,熟练地掌握了一些画图技巧,下面我模仿练习的一款时钟电路,通过绘制及制作时钟电路,通过绘制时钟电路的原理图,制作PCB板,布线等,我也发现了自己的一些不足,有了更深的体会。 一、 电路原理图及元器件库设计 1.原理图设计

电路原理图的设计主要是protel 99 se的原理图设计系统(Advanced Schematic)来绘制一张电路原理图。在这一过程中,要充分利用protel 99 se所提供的各种原理图绘图工具、各种编辑功能,来实现我们的目的,即得到一张正确、精美的电路原理图。

绘制简单电路原理图过程:首先,构思好零件图,设计好图纸大小,设置合适的图纸大小;然后,设置protel 99 se/Schematic设计环境;再者,放置零件,并对放置零件的序号、零件封装进行定义和设定等工作;然后,将图纸上的元件用具有电气意义的导线、符号连接起来,构成一个完整的原理图;然后,根据需要调整电路;再者,创建网络表;最后,加载网络表。

例如如下时钟电路原理图:

当然,这还不算完整,然后对已经完成的电路原理图,进行电气规则测试,找出错误原因,并改正。生成网络表和元器件材料清单。

电气规则测试:

分析检查报告内容,修改错误。

时钟电路网络表

2.元器件库设计

在绘制电路原理图时,难免会遇到元器件库中没有的元器件,这时,我们需要用绘图工具,学会绘制元器件。我在绘制时钟电路原理图时,也曾遇到过这种情况,所以这就需要我们自己绘制自己所需的元器件图。

…… …… 余下全文

篇二 :EDA实训心得

实训心得

短暂的一周实训已经过去了,对于我来说这一周的实训赋予了我太多实用的东西了,不仅让我更深层次的对课本的理论知识深入了理解,而且还让我对分析事物的逻辑思维能力得到了锻炼,提高了实际动手能力,下面谈一下就这一周实训中我自己的一些心得体会。 一周的实训已经过去了,我们在老师提供的实践平台上通过自己的实践学到了很多课本上学不到的宝贵东西,熟悉了对Quartus Ⅱ软件的一般项目的操作和学到了处理简单问题的基本方法,更重要的是掌握了VHDL语言的基本设计思路和方法,我想这些会对我今后的学习起到很大的助推作用。此外,还要在今后的课本理论知识学习过程中要一步一个脚印的扎实学习,灵活的掌握和运用专业理论知识这样才能在以后出去工作的实践过程中有所成果。

最后还要感谢学校为我们提供这样专业的实践平台还有瓮老师在一周实训以来的不断指导和同学的热情帮助。总的来说,这次实训我收获很大。

同时,感谢大专两年来所有的老师,是你们为我解惑受业,不仅教授我专业知识,更教会我做人的道理。

这次EDA实训让我感觉收获颇多,在这一周的实训中我们不仅巩固了以前学过的知识,而且还学到了怎样运用EDA设计三种波形的整个过程和思路,更加强了我们动手能力,同时也提高了我们的思考能力的锻炼,我们在写程序的同时还要学会要改程序,根据错误的地方去修改程序。

本文基于Verilog HDL的乒乓球游戏机设计,利用Verilog HDL语言编写程序实现其波形数据功能在分析了CPLD技术的基础上,利用CPLD开发工具对电路进行了设计和仿真,从分离器件到系统的分布,每一步都经过严格的波形仿真,以确保功能正常。

从整体上看来,实训课题的内容实现的功能都能实现,但也存在着不足和需要进一步改进的地方,

为我今后的学习和工作奠下了坚实的基础。通过此次的实训课题,掌握了制作乒乓球游戏机技术的原理及设计要领,学习并掌握了可编程逻辑电路的设计,掌握了软件、CPLD元件的应用,受益匪浅, 非常感谢瓮老师这一学期来的指导与教诲,感谢老师在学习上给予的指导,老师平常的工作也很忙,但是在我们学习的过程中,重来没有耽搁过,我们遇到问题问他,他重来都是很有耐心,不管问的学生有多少,他都细心的为每个学生讲解,学生们遇到的不能解决的,他都配合同学极力解决。最后祝愿瓮老师身体健康,全家幸福。

…… …… 余下全文

篇三 :EDA实训报告

课程名称    EDA课程设计    

指导教师        曹老师        

         10电子1    

             余振         

          20131115       

8路彩灯控制器

一:实训题目************************

二:实训内容************************

三:实训目的************************

四:实训过程************************

五:实训环境************************

六:实训总结************************

下面就从这几个方面进行论述:

:实训项目8路彩灯控制器的设计。

:实训内容:

1、彩灯明暗变换节拍为0.25S和0.5S,两种节拍交替运行。

 2、演示花型3种:

   (1)从左向右顺次序亮,全亮后逆次序渐灭;

   (2)从中间到两边对称地渐亮,全亮后仍由中间向两边灭;

…… …… 余下全文

篇四 :EDA实训报告.doc

     

课程名称      在系统编程技术    

任课教师          查长军        

设计题目         电子钟         

班级           11通信1       

姓名             郭丽丽         

学号             1105021006     

日期              20##-6-25      

…… …… 余下全文

篇五 :EDA实训报告

EDA实训报告 指 导 教 师:姓 名:班 级:学 号:

王书平 电气A141 2014147003

实训目的

1掌握利用protel 99 Se进行电路原理图绘制和印刷电路板设计的基本流程和方法

2了解protel 99 se软件基本功能和实际操作

实训内容

1建立protel 99 se文件并设置密码,加入老师成员,并设置成教师只读形式。

2绘制老师给的14个库元器件图

3绘制三个原理图并完成元器件的封装格式

4生成原理图的网络报表

4生成pcb板并完成布局布线

实训步骤

一、 原理图的绘制

打开protel软件后,点击file,出现界面如图1.1所示,选择new,完成Protel工程的新建。在Database File Name中对工程进行命名,然后点击Browse,确定你的文件将要存放的位置。确定后,Protel工程新建完成,出现图1.3界面,然后进行原理图文件和元件库文件的新建。即先双击Document,右键,选择new,依次选择Schematic Document和Schematic Library。

2元件库环境设置

打开后缀名为Lib的文件,单击右键,分别选择Document Options进行原件库环境设置。(Grid中Snap=1,Visible=5)

3将元件放进原理图中,并设计元件的属性,封装格式,名称,元件属性等,在protel99se中,放好元件,设计师电源和接地后,然后画线。

4接线完成并检查无误后,生成网络报表,在Documents目录下,新建一个PCB文件,添加生成的报表,检查有没有错误。

5显示无误后,生成PCB板,选择自动排布后选择布线。布线完成后保存。

6PCB面板设计

1、主要步骤

(1)点击Tools选项选择Auto下的Auto Placer将元器件自动布局。

…… …… 余下全文

篇六 :EDA实训

EDA简介与Protel99

实训报告

老师:王书平

                   班级电气A141

                   学号2014147120                                                                                          姓名王志强

…… …… 余下全文

篇七 :EDA实习报告

贵州师范大学学生

实习报告

科目:EDA实习

专业: 电气工程及其自动化

班级: 10电气

姓名: 李启应

学号: 101401010202

实验项目名称:数字电子钟的设计

实验项目性质:普通试验

所属课程名称:VHDL程序设计

一、 实验目的

1 学习 VHDL语言的一些基本特点。

2 掌握VHDL程序的基本结构。

3掌握VHDL程序设计方法。

4 要能够用vhdl语言读懂并编写eda程序,对eda设计的总体框架能有较好的把握,掌握各模块的调用方式。

二、 实验内容和要求

设计一个数字时钟,显示时(2位),分(2位),秒(2位),具体要求是:具有时分秒计数显示功能,以24小时循环计时;数码管动态显示时,分,秒;具有清零功能。

在软件工具平台上,进行VHDL语言的各模块编程输入、编译实现和仿真验证。

三、 实验主要仪器设备和材料

计算机,开发环境MAX-PLUSII,ZY11EDA实验系统,VHDL语言。

四、 实验方法、步骤及结果测试

1、设计思路:

数字钟的主体是计数器,它记录并显示接收到的秒脉冲个数,其中秒和分位60进制计数器,小时为24进制计数器,分别产生3位BCD码。BCD码经译码,驱动后接数码显示电路。

根据实验要求,将设计分为5个主要部分,时功能模块、分功能模块、秒功能模块、扫描仪功能模块和7段LED功能模块。 在时、分、秒模块中,包括复位和预置数,其主要思路如下:

秒钟的模块:设计一个60进制的计数器,以clk为其时钟信号,每60个clk后产 1

生一个进位信号CF给分钟模块,作为分钟进程的响应信号。

秒钟模块VHDL程序见附录1:

仿真波形如下:

EDA实习报告

封装如下图:

EDA实习报告

分钟的模块:同理于秒钟的模块,设计一个60进制的计数器,以CFM为其时钟信号,每60个CFM后产生一个进位信号CFM给小时模块,作为小时模块进程的响应信号。 分钟模块VHDL程序见附录二:

…… …… 余下全文

篇八 :Simtrade外贸实训报告总结

Simtrade外贸实训报告

近一个月在计算机房的Simtrade实训课结束了,老师给我们提供了一个可以亲身体验国际贸易流程的平台,让我们在此次实训中更加熟悉进出口流程程序。

一. 实训的目的

本次实训的主要目的是让我们切身体会到国际贸易中进口商、出口商、工厂、进口地银行、出口地银行等不同角色的具体工作及它们之间的贸易关系;将课堂中所学到的理论知识运用到实践中去,大家都积极主动地进行角色扮演;熟悉国际贸易的具体操作流程,发现错误改正错误;提供给我们一个实际演练的平台,提前让大家体验一次交易,为以后的工作铺垫。

二. 实训的要求

熟练地掌握国际贸易知识及进出口流程中证货船款等内容,熟悉进出口的各个程序,按时完成每堂课的任务。

三. 实训的准备

在实训开始前复习学过的进出口贸易程序及具体的操作步骤,事先预知可能出现的错误,明确实训的目标及每节课的任务。

四. 实训的内容

1. 首先了解Simtrade的基本用法,熟悉每个功能按钮,方便以后的操作。

2. 以进出口商、工厂、进口地银行、出口地银行五个角色登录并注册,填写公司相关资料。并在“淘金网”中寻找交易的商品,发布公司广告信息,并另保留一份相关资料便于以后的操作。

3. 用邮件与自己(交易对象)建立业务关系,出口商与进口商的询盘发盘还盘接受。核算进出口价格,并与进口商以CIF条件签订外销合同,与工厂签内贸合同。

4. 合同的履行①进口商开立信用证②出口商审证并接受③查询商品是否需要检验,出口报检并办理保险⑤办理出口报关手续⑥出口商发装船通知给进口商⑦出口商交单议付,进口商付款赎单⑧货物到后,换取提货单⑨进口报检报关,缴税,提货⑩相关后续事宜(退税核销等)

五. 实训遇到的问题

1. 对页面上的按钮功能不够熟悉,在查询资料时找不到自己所需要资料的位置,加大了以后操作的难度。

2. 计算问题 ,在计算海运费时,商品的包装单位销售单位不明确

…… …… 余下全文