篇一 :数电课程总结 电气

?数字电路与逻辑设计?课程总结

在不知不觉中,学期已经过半了,上半学期我们主要学习了三门课程:自控 数电 信号 ,不知不觉中,数电课程已经结束了,静下心来想想,数电课程真的让我学到了好多东西。

早就听老师说,我们信电学院有几门课必须要学好学精,其中之一就有数电这门课程,当然还有模电,因为它们是我们信电学院学的大部分课程的基础,所以在刚开始学这门课时我就跟自己说要学好这门课程,接触这门课的前一段时间,感觉课程有点难,关键是不知那时该怎么学这门课,后来随着接触时间的增多,逐渐找到了学习这门课的方法,接下来的一段时间,在学起来就容易多了,所以现在的感觉是这门课,其实并没有那么难,当然你要有正确的方法。我总结了一下,大概有这么几条。

首先,课堂上要认真听讲,尽自己最大能力消化课堂的东西,我有这样的感觉,自己啃书本自学非常难懂的知识经过老师的讲解,接受起来就简单一些,所以我一般时候是不逃课的,尤其是像数电这样的课程,我心里可清楚,这一节课的知识要是自己自学,就要花几倍的时间,而且还不一定能明白,这是很划不来的,而且课程要不间断的听,章和章之间的联系非常紧密,一旦一个地方听不懂,后来想听明白就要花一番功夫,刚开始的几节课,内容还不是很多,但随着课程的进行,每节课的内容越来越多,听起来就感觉很吃力了,但吃力也要听,能听懂多少算多少。坚持下来就会发现你已经把数电学懂了。

其次,课堂下要认真复习思考老师在课堂上讲过的东西,正如刚

才所说,后来的课程内容很多,你在课堂上是无法吸收全部内容的,所以你在课堂下必须花一点时间,想一想老师讲的东西,这样印象才会更深。

这是自己学习数电的一点方法,在侃侃自己数电课学到的内容,第一章我们学习了数制和编码,尽管以前学过这方面的内容,但还是又重温了一遍。第二章我们学习了逻辑代数基础,这才算是对数电有了直观的认识,开始接触数电了,这些内容当然只是数电的最基础知识,接下来开始进入正题,逻辑门电路 组合逻辑电路 使我们学习的第一部分重要内容,接下来就是集成触发器 时序逻辑电路,这是我们学习的第二大内容,可以这样讲,学完这两部分内容数电的大半课程也就结束了,后来我们又学习了脉冲波形的产生和整形,认识了555芯片,老师又带我们认识了,半导体存储器,了解了数模和模数转换,老师告述我们,这是以后对我们很有用的知识。仔细想想数电其实就学了这么东西,当然课堂上讲述的知识对我们是重要的,老师也给我们讲了许多课堂之外的东西对我们而言也是很有用处的,比如电子设计大赛的一些情况,我们中有很多人,对电子设计是非常感兴趣的,老师讲的这些东西是无法从别人那里得到的,毕竟老师您已经在这方面搞了好多年了,你的经验总结很有价值,当然老师您教我们的做人道理,我们也不会忘记,你常常说做人要诚实,用以前带过的学生的例子教育我们,这一点我们一定牢记在心。

…… …… 余下全文

篇二 :数电实验课程总结报告

不知不觉,一个学期已经过去,数电实验这门课也即将结束。回顾这个学期以来在数电实验课程中的学习,我发现自己既收获了很多,也付出了很多。

数电实验是一门结合理论并有所创新的课程。实验一——数字集成电路功能与特性测试让我熟悉了几个常用芯片74LS247、74LS163与74LS00。一方面数电理论课正好进行到这部分的内容,这次实验的学习让我更好的理解理论课的知识。另一方面,在接下来的实验三中,我需要用到其中的芯片与显示电路,这为接下来的实验做好了铺垫。实验二开始我们就与FPGA接触了。作为一个电子信息工程专业的学生,今后的研究与学习肯定会需要使用到FPGA,所以实验二与实验三的实际应用意义是很大的。

经过简单的熟悉QuartusII软件后,我们开始了最为重要的实验三——多功能数字钟的设计。可以说,实验三是本课程的核心所在。实验三耗时一个多月,我们经历了一个完整的开发周期。从数字钟功能设想到方案论证,再到软件编写与硬件焊接,再到最后的整机测试。我投入了大量的时间与精力,最后做出了集闹钟、报时、校时、秒表、倒计时、日期显示、12——24小时制转换等功能的多功能数字钟。在数字钟设计的过程中,我遇到了很多的问题。一开始我是用的是纯VHDL语言编写的方案开发数字钟,可是随着功能逐渐增多,我发现语言编写并不能很容易的加减功能。而且一旦在仿真中发现问题,我很难从源文件中查找出问题所在。于是在离验收日期只有一个星期的时候,我毅然选择了推到重来,放弃已有的程序,重新使用顶层原理图加底层VHDL语言的方案开发。后来的结果证明,这种方案不仅思路清晰,易于增减功能、检查错误,也能在一定程度上节约内部资源。最后,我花了4个晚上重新编写好软件程序,花了一个晚上焊接硬件并组装调试。这次成功的经验大大提升了我的信心,也让我懂得了敢于放弃,不怕重来的道理。

总的来说,本次数电实验课程让我收获很多。我会在今后的学习中更加努力。 最后,感谢老师一个学期以来的教导,祝老师身体健康,万事如意!

…… …… 余下全文

篇三 :数电课程设计心得体会

课程设计刚开始,拿着选定的题目不知如何入手。毕竟课程设计不同于实验课,电路图和程序都要自己设计。静下心来,仔细分析题目,再加上指导老师的说明与提示和同组成员的帮助,心中才有了谱。将整个系统根据不同的功能化分成模块,再分别进行设计,逐个攻破,最后再将其整合即可。

用VHDL进行设计,首先应该理解,VHDL语言是一种全方位硬件描述语言,包括系统行为级,寄存器传输级和逻辑门级多个设计层次。应充分利用VHDL“自顶向下”的设计优点以及层次化的设计概念,层次概念对于设计复杂的数字系统是非常有用的,它使得我们可以从简单的单元入手,逐渐构成庞大而复杂的系统。通过使用EDA编程既方便有快捷的实现了程序本次设计的程序已经在硬件系统上得到了验证 ,实验表明 ,此设计方法能够满足多种不同花样彩灯的变化要求 ,并且该方法便于扩展不同变化模式的彩灯花样。但是试验中也出现了一些不熟练的操作问题和一些复杂程序的不能完全理解都需要我在平时多学习,进一步的完善自己。在实习中经常会遇到一些自己可能暂时无法想明白的问题,请教同学或老师是很好的做法,节省时间也会从别人上上学到更多。在设计时和同学相互交流各自的想法也是很重要的,不同的人对问题的看法总有差异,我们可以从交流中获得不同的思路,其他人的设计一定有比你出色的地方,很好的借鉴,并在大家的商讨中选择最优方案最终一定会得到最好的设计方法。电子技术课程设计是配合电子技术基础课程与实验教学的一个非常重要的教学环节。它不但能巩固我们已所学的电子技术的理论知识,而且能提高我们的电子电路的设计水平,还能加强我们综合分析问题和解决问题的能力,进一步培养我们的实验技能和动手能力,启发我们的创新意识几创新思维。

整个课程设计过程我都认真地完成了,对此,我总结了以下几点:

第一,两人一组,既加强了我们的动手能力,又让我们学会了团结一致,共同合作才能研究出最好的方案。我们将理论联系实际,在交流中取得进步,从问题中提高自己。

…… …… 余下全文

篇四 :数字电路实验课程小结

课程小结

这学期通过九周的数字电路的实验,既对门电路、译码器、触发器、计数器等理论知识有了更深的理解,又锻炼了自己实际的操作能力。在已有的课本知识基础上进行实验设计,使同学们在传统实验基础上的创新意识得到体现,同时又巩固了对数字电路的掌握,激发了我们的学习数字电路的兴趣。

首先在做实验之前要要有充分的准备,弄懂实验原理,实验总是与课本知识相关的,就必须回顾课本的知识,掌握相关的知识点。在实验过程中,我们应该尽量减少操作的盲目性提高实验效率的保证,在弄懂了实验原理的基础上,才能保证自己的正确性。

在写预习报告时,会通过仿真,提出问题并试着通过网络找资料自学有关知识以及与他人交流来解决问题。培养了自己发现问题和解决问题的能力,留给学生充分的学习思维时间,增强了学习的主动性,为在课堂上的进一步理解应用打下基础。

同时将两个人组成一小组,再将几小组组成一个大组的形式很好,增强了大家的互动性。无论是在课后还是课上,大家都可以不断交流,互相发现问题,解决问题,在遇到不懂的地方共同努力,齐心协力,共同进步。

数字电子技术实验的开放体现了学生的主体意识,同学们在实验中表现出了积极的主动性。在实验中应思考如何去掌握和运用物理方法,如给定了实验原理和一些条件要求(如相关的芯片),设计实验方案、实验步骤,画出实验电路图,然后进行操作,得出结果。

数字电子技术是一门理论性和实践性都很强的专业基础课,也是一门综合性的技术基础学科,许多理论和方法只有通过实际验证才能加深理解并真正掌握。在老师的启发引导下,通过创新解决问题,获取知识,掌握物理实验思想和实验方法的实质,从而培养创新能力。学习数字电路实验这门课程,要掌握电路设计的基本知识和方法,通过实验培养在实践中研究问题、分析问题和解决问题的能力,为将来从事技术工作和科学研究奠定扎实的基础。

…… …… 余下全文

篇五 :数电课程实习心得

数电课程实习心得

1、通过这次课程设计,加强了我们动手、思考和解决问题的能力。在整个设计过程中,我们通过这个方案包括设计了一套电路原理和pcb连接图,和芯片上的选择。这个方案总共使用了74ls248,cd4510各两个,74ls04,74ls08,74ls20,74ls74,ne555定时器各一个。

2、在设计过程中,经常会遇到这样那样的情况,就是心里想老着这样的接法可以行得通,但实际接上电路,总是实现不了,因此耗费在这上面的时间用去很多。

3、我沉得做课程设计同时也是对课本知识的巩固和加强,由于课本上的知识太多,平时课间的学习并不能很好的理解和运用各个元件的功能,而且考试内容有限,所以在这次课程设计过程中,我们了解了很多元件的功能,并且对于其在电路中的使用有了更多的认识。平时看课本时,有时问题老是弄不懂,做完课程设计,那些问题就迎刃而解了。而且还可以记住很多东西。比如一些芯片的功能,平时看课本,这次看了,下次就忘了,通过动手实践让我们对各个元件映象深刻。认识来源于实践,实践是认识的动力和最终目的,实践是检验真理的唯一标准。所以这个期末测试之后的课程设计对我们的作用是非常大的。

4、经过两个星期的实习,过程曲折可谓一语难尽。在此期间我们也失落过,也曾一度热情高涨。从开始时满富盛激情到最后汗水背后的复杂心情,点点滴滴无不令我回味无长。生活就是这样,汗水预示着结果也见证着收获。劳动是人类生存生活永恒不变的话题。

通过实习,我才真正领略到“艰苦奋斗”这一词的真正含义,我才意识到老一辈电子设计为我们的社会付出。我想说,设计确实有些辛苦,但苦中也有乐,在如今单一的理论学习中,很少有机会能有实践的机会,但我们可以,而且设计也是一个团队的任务,一起的工作可以让我们有说有笑,相互帮助,配合默契,多少人间欢乐在这里洒下,大学里一年的相处还赶不上这十来天的合作,我感觉我和同学们之间的距离更加近了;我想说,确实很累,但当我们看到自己所做的成果时,心中也不免产生兴奋; 正所谓“三百六十行,行行出状元”。 我们同样可以为社会作出我们应该做的一切,这有什么不好?我们不断的反问自己。也许有人不喜欢这类的工作,也许有人认为设计的工作有些枯燥,但我们认为无论干什么,只要人生活的有意义就可。

…… …… 余下全文

篇六 :数电实验总结心得

数字电子技术实验总结心得

数字电子技术是一门理论与实践密切相关的学科,如果光靠理论,我们就会学的头疼,如果借助实验,效果就不一样了,特别是数字电子技术实验,能让我们自己去验证一下书上的理论,自己去设计,这有利于培养我们的实际设计能力和动手能力。

通过数字电子技术实验, 我们不仅仅是做了几个实验,不仅要学会实验技术,更应当掌握实验方法,即用实验检验理论的方法,寻求物理量之间相互关系的方法,寻求最佳方案的方法等等,掌握这些方法比做了几个实验更为重要。

在数字电子技术实验中,我们可以根据所给的实验仪器、实验原理和一些条件要求,设计实验方案、实验步骤,画出实验电路图,然后进行测量,得出结果。

在数字电子技术实验的过程中,我们也遇到了各种各样的问题,针对出现的问题我们会采取相应的措施去解决,比如:

1、线路不通——运用逻辑笔去检查导线是否可用;

2、芯片损坏——运用芯片检测仪器检测芯片是否正常可用以及它的类型;

3、在一些实验中会使用到示波器,这就要求我们能够正确、熟悉地使用示波器,通过学习我们学会了如何调节仪器使波形便于观察,如何在示波器上读出相关参数,如在最后的考试实验《555时基电路及其应用》中,我们能够读出多谐振荡器的Tpl、Tph和单稳态触发器的暂态时间Tw,还有有时是因为接入线的问题,此时可以通过换用原装线来解决。

同时,我们也得到了不少经验教训:

1、当实验过程中若遇到问题,不要盲目的把导线全部拆掉,然后又重新连接一遍,这样不但浪费时间,而且也无法达到锻炼我们动手动脑能力的目的。

此时,我们应该静下心来,冷静地分析问题的所在,有可能存在哪一环节,比如实验原理不正确,或是实验电路需要修正等等,只有这样我们的能力才能有所提高。

2、在实验过程中,要学会分工协作,不能一味的自己动手或是自己一点也不参与其中。

3、在实验过程中,要互相学习,学习优秀同学的方法和长处,同时也要学会虚心向指导老师请教,当然这要建立在自己独立思考过的基础上。

…… …… 余下全文

篇七 :数字电路课程设计总结报告

数字电路课程设计总结报告

题 目:交 通 灯 控 制 器

1、设计背景

2、设计任务书

3、设计框图及总体描述

4、各单元设计电路设计方案与原理说明

5、测试过程及结果分析

6、设计、安装、调试中的体会

7、对本次课程设计的意见及建议

8、附录

9、参考文献

10、成绩评定表格

一、设计背景

随着经济的快速发展,城市交通问题日益凸显严重,尤其在城市街道的十字叉路口,极其容易发生交通问题,为了保证交通秩序和人们的安全,一般在每条街上都有一组红、黄、绿交通信号灯。交通灯控制电路自动控制十字路口的红、黄、绿交通灯。交通灯通过的状态转换,指挥车辆行人通行,保证车辆行人的安全,实现十字路口交通管理自动化。

二、设计任务书

1、设计一个十字路口的交通灯控制电路,要求南北方向(即A车道)和东西方向(即B车道)两条交叉道路上的车辆交替运行,每次通行时间都为30秒;

2、在绿灯转红灯时,先由绿灯转为黄灯,黄灯亮6秒后,再由黄灯转为红灯,此时另一方向才由红灯转为绿灯,车辆才开始通行。

三、设计框图及总体描述

1、分析系统的逻辑功能,画出其框图

交通灯控制系统的原理框图如图1所示。它主要由控制器、定时器、译码器和秒脉冲信号发生器等部分组成。秒脉冲发生器是该系统中定时器和控制器的标准时钟信号源,译码器输出两组信号灯的控制信号,经驱动电路后驱动信号灯工作,控制器是系统的主要部分,由它控制定时器和译码器的工作。

图1交通灯控制系统原理框图

在图中,

T30: 表示甲车道或乙车道绿灯亮的时间间隔为30秒,即车辆正常通行的时间间隔。定时时间到,T30 =1,否则,T30 =0。

T6:表示黄灯亮的时间间隔为6秒。定时时间到,T6=1,否则,T6=0。

ST:表示定时器到了规定的时间后,由控制器发出状态转换信号。由它控制定时器开始下个工作状态的定时。

…… …… 余下全文

篇八 :数字电路课程设计总结报告

《数字电路》课程设计

总结报告

题目:数字钟设计

专     业          班  级          

学生姓名                                     

学     号                                  

指导教师                                  

日  期                                    

…… …… 余下全文