篇一 :数字电路实验心得体会

数字电路实验心得体会

数字电路实验心得体会一:数字电路实验心得

在实验具体操作的过程中,对理论知识(半加器和全加器)也有了更近一步的理解,真正达到了理论指导实践,实践检验理论的目的。

实验操作中应特别注意的几点:

(1)刚开始创建工程时选择的目标芯片一定要与实验板上的芯片相对应。

(2)连接电路时要注意保证线与端口连接好,并且注意不要画到器件图形符号的虚线框里面。

(3)顶层文件的实体名只能有一个,而且注意符号文件不能与顶层文件的实体名相同。

(4)保存波形文件时,注意文件名必须与工程名一致,因为在多次为一个工程建立波形文件时,一定要注意保存时文件名要与工程名一致,否则不能得到正确的仿真结果。

(5)仿真时间区域的设定与输入波形周期的设定一定要协调,否则得到波形可能不便于观察或发生错误。

心得体会:刚接触使用一个新的软件,实验前一定要做好预习工作,在具体的实验操作过程中一定要细心,比如在引脚设定时一定要做到“对号入座”,曾经自己由于这一点没做好耗费了很多时间。实验中遇到的各种大小问题基本都是自己独立排查解决的,这对于自己独立解决问题的能力也是一个极大地提高和锻炼,总之这次实验我获益匪浅。

>数字电路实验心得体会二:数电实验心得>>(903字)

数字电子技术是一门理论与实践密切相关的学科,如果光靠理论,我们就会学的头疼,如果借助实验,效果就不一样了,特别是数字电子技术实验,能让我们自己去验证一下书上的理论,自己去设计,这有利于培养我们的实际设计能力和动手能力。

通过数字电子技术实验, 我们不仅仅是做了几个实验,不仅要学会实验技术,更应当掌握实验方法,即用实验检验理论的方法,寻求物理量之间相互关系的方法,寻求最佳方案的方法等等,掌握这些方法比做了几个实验更为重要。

在数字电子技术实验中,我们可以根据所给的实验仪器、实验原理和一些条件要求,设计实验方案、实验步骤,画出实验电路图,然后进行测量,得出结果。

…… …… 余下全文

篇二 :数字逻辑第3次实验报告

数字逻辑实验报告三

…… …… 余下全文

篇三 :大连海事大学数字逻辑电路课程设计实验总结报告

数字逻辑电路课程设计实验总结报告 题目一:用J-K触发器设计13进制加法计数器

一、设计过程:参见设计实验报告(真值表,卡诺图)。 二、逻辑电路图:

三、电路图描述:

4个J-K触发器同步接法,每一位J-K触发器的输出端经与非门与灯泡连接(具体c参见设计报告卡诺图下表达式),4个小灯泡代表4位2进制数,左边为高位,右边为低位,小灯泡的亮、灭分别代表“1”,“0”。 四、实验结果:

小灯泡由“灭灭灭亮”依次到“亮灭亮灭”,然后到“灭灭灭灭”,代表“0001”依次累加到“1010”然后清零为“0000”,实现0~12,模13加法计数器。

题目二:用74LS194实现M=10序列为1100110101

一、设计过程:参见设计实验报告。 二、逻辑电路图:

由74LS194双向移位寄存器产生M=10的1100110101。由Q3,Q2,Q1,Q0代表194四位输出端。灯的亮灭代表10,最右边的灯代表F,即代表所产生的序列。 四、实验结果

Q3,Q2,Q1,Q0从“1100”到“1110”成一循环,F的值与之依次对应。参见设计报告真值表。

题目三:用74LS163设计0~98以内的数显电路。

一、设计过程:参见设计实验报告 二、逻辑电路图

三、电路描述:

两位74LS163芯片分别代表56进制高地位。低位需要在9即“1001”,以及高位为5(“0101”)、低位为5(“0101”)两个状态清零,通过与非门控制。高位仅在5时(“0101”)时清零。 四、实验结果

从“00”开始计数直到“55”清零。

题目四:用74LS163和74LS151设计M=10序列为0011001101序列

一、设计过程:参见设计实验报告 二、逻辑电路图

由74LS163,74LS151两个芯片组成。163芯片四位输出端“QD,QC,QB,QA”通过降维(参见设计报告)与151ABC三位输入端项链。151的8位置数端所置的数由降维卡诺图(参见设计报告)确定,从M0到M7分别为:0101‘Q0’111。通过163计数,再由151译码实现所设计序列。 四、实验结果:

…… …… 余下全文

篇四 :数字逻辑设计实验报告_实验14

浙江大学城市学院实验报告

课程名称                      数字逻辑设计实验                     

实验项目名称                实验十四寄存器及其应用                 

学生姓名                专业班级               学号                

实验成绩          指导老师(签名 )               日期               

…… …… 余下全文

篇五 :数字逻辑与数字系统实验报告五

篇六 :数字电路与逻辑设计实验报告1-深圳大学

深 圳 大 学 实 验 报 告

      课程名称:­          数字电路与逻辑设计                

      实验项目名称               实验一                        

              

学院               计算机与软件学院                           

…… …… 余下全文

篇七 :数字逻辑实验报告综合版

广东工业大学校徽

xiaomin

基于Libero的数字逻辑仿真实验


1. 基本门电路

一、实验目的 

1、了解基于Verilog的基本门电路的设计及其验证。

2、熟悉利用EDA工具进行设计及仿真的流程。

二、实验环境

Libero仿真软件。

三、实验内容

1、参考4.1基本门电路实验掌握Libero软件的使用方法。

2、参考74HC00的实验,完成74HC00、74HC02、74HC04、74HC08、74HC32、74HC86相应的设计、综合及仿真

3、提交针对74HC00、74HC02、74HC04、74HC08、74HC32、74HC86(任选一个)的综合结果,以及相应的功能仿真结果。

4、自选一个器件演示其布线后仿真过程。

四、实验结果和数据处理

1、模块及测试平台代码清单

 74HC32

(a)    模块代码

// main.v

module HC32(a,b,y);

  input [4:1]a,b;

  output[4:1]y;

  assign y=a|b;

endmodule

(b)   测试平台代码

// testbench.v

`timescale 1ns/1ns

module testbench;

  reg [4:1]a,b;

  wire [4:1]y;

  HC32 ul(a,b,y);

  initial

  begin

    a=4'b0000;b=4'b0001;

    #10 b=b<<1;

    #10 b=b<<1;

…… …… 余下全文

篇八 :北邮 数字逻辑实验报告

北京邮电大学课程设计报告

注:评语要体现每个学生的工作情况,可以加页。

目录

实验一:交通灯控制器设计...

实验二:电子钟设计...

实验三:药片装瓶系统设计...

附:数字逻辑课程设计调试日志及个人心得体会...

实验一:交通灯控制器设计

一、实验目的

①学习采用状态机方法设计时序逻辑电路。

②掌握ispLEVER软件的使用方法。

③掌握用VHDL语言设计数字逻辑电路。

④掌握ISP器件的使用。

二、实验所用器件和设备

三、实验内容

以实验台上的4个红色电平指示灯,4个绿色电平指示灯模仿路口的东南西北4个方向的红,绿,黄交通灯。控制这些交通灯,使它们按下列规律亮,灭。

(1)    初始状态为4个方向的红灯全亮,时间1s。

(2)    东,西方向绿灯亮,南,北方向红灯亮。东,西方向通车,时间5s。

(3)    东,西方向黄灯闪烁,南,北方向红灯,时间2s。

(4)    东,西方向红灯亮,南,北方向绿灯亮。南,北方向通车,时间5s。

(5)    东,西方向红灯闪烁,南,北方向黄灯闪烁,时间2s。

(6)    返回(2),继续运行。

(7)    如果发生紧急事件,例如救护车,警车通过,则按下单脉冲按钮,使得东,南,西,北四个方向红灯亮。紧急事件结束后,松开单脉冲按钮,将恢复到被打断的状态继续运行。

四、设计思路

(1) 将本实验分为分频,状态计数器,led输出三大模块;

(2) 分频模块需要注意到占空比,采用when-else语句;

(3) 状态计数器都分为5s,2s,5s,2s,四个状态时间,通过计数器作状

…… …… 余下全文