篇一 :EDA课程数字钟设计报告

EDA课程设计报告

                  

               ——数字钟设计

班 级:20##级

学 号:******

姓 名:陶庆权

一、设计任务

设计一台能显示时、分、秒的数字钟。具体要求如下:

(1)  由实验箱上的时钟信号经分频产生秒脉冲;

(2)  计时计数器用24进制计时电路;

(3)  可手动校时,能分别进行时、分的校正;

(4)  整点报时;

选做:可设置闹时功能,当计时计到预定时间时,扬声器发出闹铃信号,闹铃时间为4s,并可提前终止闹铃。

二、 试验目的

(1)  掌握时十进制、六进制和二十四进制计数器的设计方法。

(2)  掌握多位计数器相连的设计方法。

(3)  掌握多位共阴极扫描显示数码管的驱动及编码。

三、总体设计方案

本数字系统实现数字钟的基本的计时功能,输入8Hz的时钟,通过分频产生1Hz的时钟信号,采用24/12小时制计时,能显示时、分、秒。本系统还具有校正功能,可以进行时分的校时,当计时器运行到59分59秒开始报时,另外还可以设定闹钟,当按下闹铃开关时,可在规定时间闹铃,当开关复位时,闹铃停止。

本数字钟实际上是一个对频率(1Hz)进行计数的计数电路。由于计数的起始时间不可能与标准时间一致,故需要在电路上加一个校时电路,同时分频后的1Hz时间信号必须做到准确稳定。通常使用石英晶体振荡器电路构成数字钟。

…… …… 余下全文

篇二 :EDA课程设计报告-- 数字钟(设计报告+仿真文件)

附:

仿真文件下载地址:

http://download.csdn.net/detail/zhj8861991/4061213

  

EDA课程设计报告

      课题名称:     数字钟    

    名:                

    级:    电信        

    期:                

指导老师:                

一、   设计要求说明

 设计并实现具有一定功能的数字小系统(数字钟)

 要求:

1、对所有设计的小系统能够正确分析;

2、基于VHDL语言描述系统的功能;

3、在quartus 2环境中编译通过;

4、仿真通过并得到正确的波形;

5、给出相应的设计报告。

难度要求:至少有2层电路,底层电路至少有4中元件。

二、 方案论证

该数字钟可以实现3个功能:计时功能、整点报时功能和重置时间功能,因此有3个子模块:计时、报时(alarm1)、重置时间(s1、m1、h1、d1)。其中计时模块有4部分构成:秒计时器(second1)、分计时器(minute1)和时计时器(hour1)

…… …… 余下全文

篇三 :用VHDL语言实现数字电子钟的设计(EDA课程设计报告 含源程序)

课 程 设 计 报 告

设计题目:用VHDL语言实现数字钟的设计

班    级:电子 0901

学    号: XXXXXXXX

姓    名:XXXXXXXXX  

指导教师:XXXXXXXXX

设计时间:20##年12月

摘要

      现代电子设计技术的核心已转向基于计算机的电子设计自动化技术,即EDA(Electronic Design Automation)技术。EDA技术就是依赖计算机,在EDA工具软件平台上,对以硬件描述语言HDL(Hardware Description Language)为系统逻辑描述手段完成的设计文件,自动地完成逻辑编译、化简、分割、综合、布局布线以及逻辑优化和仿真测试,直至实现既定的电子线路系统功能。EDA技术使得设计者的工作仅限于利用软件的方式,即利用硬件描述语言和EDA软件来完成对系统硬件功能的实现。硬件描述语言是EDA技术的重要组成部分,常见的HDL语言有VHDL、Verilog、HDL、ABLE、AHDL、System Verilog和System C。其中VHDL、Verilog在现在的EDA设计中使用最多,也拥有几乎所有主流EDA工具的支持。VHDL语言具有很强的电路描述和建模能力,能从多个层次对数字系统进行建模和描述,从而大大简化硬件设计任务,提高了设计效率和可靠性。在这次设计中,主要使用VHDL语言输入。此次设计很好地完成了数字钟的定时、切换显示年月日和时分秒的功能,完成了小型FPGA的设计开发,锻炼了动手实践能力,达到了课程设计的目的。

关键词:EDA技术 硬件描述语言 VHDL 设计 数字电子钟

目录

       摘要………………………………………………………………………2

…… …… 余下全文

篇四 :EDA课程设计报告 数字钟(综合实验) 哈尔滨学院

 EDA课程设计报告

设计课题:数字钟(综合实验)   

专业班级:电子10-1         

学生姓名:岳杨             

指导教师:刘宏             

设计时间: 2013.6.24-2013.7.5 

数字钟(综合实验)

 一、设计任务与要求

1.设计任务

1)利用MAXPLU软件及所学知识设计数字钟。

2)完成总程序的编译、并下载到实验箱。

2.设计要求

     1)具有时、分、秒计数显示功能,以二十四小时循环计时。

2)具有清零,调节小时,分钟的功能。

3)具有整点报时同时LED灯花样显示的功能。

3.实验目的

1) 掌握多位计数器相连的设计方法。

2) 掌握十进制,六进制,二十四进制计数器的设计方法。

3) 继续巩固多位共阴极扫描显示数码管的驱动,及编码。

4) 掌握扬声器的驱动。

5) LED灯的花样显示。

6) 掌握CPLD技术的层次化设计方法。

4.硬件要求

1) 主芯片Altera EPM7128SLC84-15。

2)8个LED灯。

3)扬声器。

4)8位8段扫描共阴极数码显示管。

5)三个按键开关(清零,调小时,调分钟)。

5.模块说明

1)各种进制的计数及时钟控制模块(10进制、6进制、24进制)。

2)扫描分时显示、译码模块。

…… …… 余下全文

篇五 :EDA课程设计报告_数字钟

目   录

摘要………………………………………………………………………2

1设计目的……………………………………………………………… 2

2设计内容  …………………………………………………………… 2

2.1设计任务……………………………………………………………2

2.2扩展    ……………………………………………………………2

3系统方案及设计原理……………………………………………… 3

3.1方案选择………………………………………………………… 3

3.2数字钟的基本工作原理………………………………………………3

3.3底层元件接口……………………………………………………… 4

3.4数字钟设计的RTL…………………………………………… 5

4设计步骤………………………………………………………………5

5心得体会………………………………………………………………10

6 程序代码  ………………………………………………………… 10

摘要:

人类社会已进入到高度发达的信息化社会。信息化社会的发展离不开电子信息产品开发技术、产品品质的提高和进步。电子信息产品随着科学技术的进步,其电子器件和设计方法更新换代的速度日新月异。实现这种进步的主要原因就是电子设计技术和电子制造技术的发展,其核心就是电子设计自动化(EDA,Electronics Design Automation)技术,EDA技术的发展和推广应用又极大地推动了电子信息产业的发展。为保证电子系统设计的速度和质量,适应“第一时间推出产品”的设计要求,EDA技术正逐渐成为不可缺少的一项先进技术和重要工具。目前,在国内电子技术教学和产业界的技术推广中已形成“EDA热”,完全可以说,掌握EDA技术是电子信息类专业学生、工程技术人员所必备的基本能力和技能。 

…… …… 余下全文

篇六 :数字钟—EDA课程设计报告

学号:20082653 电子0801

EDA数字钟设计

郑文韬

2011-07-01

1 课程设计报告

目录

一、引言

1、EDA简介 2、VHDL简介 三、课程设计题目 四、课程设计要求: 五、课程设计思路 六、相关VHDL程序

1、屏幕切换模块 2、显示切换程序 3、置数操作模块 4、秒显示模块 5、分显示模块 6、小时显示模块

7、日显示模块(已加入闰年判断功能) 8、月显示模块 9、年显示模块 七、实验心得

1 1 2 3 3 4 5 5 6 7 9 9 10 11 12 13 13

一、引言

随着科学技术的迅猛发展,电子工业界经历了巨大的飞跃。集成电路的设计正朝着速度快、性能高、容量大、体积小和微功耗的方向发展。基于这种情况,可编程逻辑器件的出现和发展大大改变了传统的系统设计方法。可编程逻辑器件和相应的设计技术体现在三个主要方面:一是可编程逻辑器件的芯片技术;二是适用于可逻辑编程器件的硬件编程技术,三是可编程逻辑器件设计的EDA开发工具,它主要用来进行可编程逻辑器件应用的具体实现。在本实验中采用了集成度较高的FPGA 可编程逻辑器件, 选用了VHDL硬件描述语言和MAX + p lusⅡ开发软件。VHDL硬件描述语言在电子设计自动化( EDA)中扮演着重要的角色。由于采用了具有多层次描述系统硬件功能的能力的“自顶向下”( Top - Down)和基于库(L ibrary - Based)的全新设计方法,它使设计师们摆脱了大量的辅助设计工作,而把精力集中于创造性的方案与概念构思上,用新的思路来发掘硬件设备的潜力,从而极大地提高了设计效率,缩短 了产品的研制周期。MAX + p lusⅡ是集成了编辑器、仿真工具、检查/分析工具和优化/综合工具的这些所有开发工具的一种集成的开发环境,通过该开发环境能够很方便的检验设计的仿真结果以及建立起与可编程逻辑器件的管脚之间对应的关系。

…… …… 余下全文

篇七 :EDA课程设计报告(数字电子时钟)

 EDA课程设计报告

设计题目:    数字时钟的设计       

班   级:  电气工程及其自动化    

姓   名:                       

学   号:                         

日   期:   20##615      


目录

摘要

一、课程设计任务及要求.................................................................................................................................. 3

1.1实验目的............................................................................................................. 3

…… …… 余下全文

篇八 :EDA课程设计——多功能数字钟课程设计报告

多 功 能 数 字 电 子 钟

一、     设计要求

1、具有以二十四小时计时、显示、整点报时、时间设置和闹钟的功能。

2、设计精度要求为1S。

二.系统功能描述

1 . 系统输入:系统状态及校时、定时转换的控制信号为k、mode、set;

          时钟信号clk,采用1024Hz;

         系统复位信号为reset。输入信号均由按键产生。

系统输出:LED显示输出,蜂鸣器声音信号输出。

多功能数字钟系统功能的具体描述如下:

2.  计时:正常工作状态下,每日按24h计时制计时并显示,蜂鸣器无声,逢整点报时。

3.  校时:在计时状态显示下,按下“set键”,进入“小时”校准状态,之后按下“k键”则进入“分”校准状态,继续按下“k键”则进入“秒复零”状态,第三次按下“k 键”又恢复到正常计时显示状态。

1)“小时”校准状态:在“小时”校准状态下,显示“小时”数码管以1Hz的频率递增计数。

2)“分”校准状态:在“分”校准状态下,显示“分”的数码管以1Hz的频率递增计数。

3)“秒”复零状态:在“秒复零”状态下,显示“秒”的数码管复零。

4.  整点报时:蜂鸣器在“59”分钟的第“51”、“53”、“55”、“57‘秒发频率为512Hz的低音,在“59”分钟的第“59”秒发频率为1024Hz的高音,结束时为整点。

5.  显示:要求采用扫描显示方式驱动6个LED数码管显示小时、分、秒。

闹钟:闹钟定时时间到,蜂鸣器发出周期为1s的“滴”、“滴”声,持续时间为10s;闹钟定时显示。

…… …… 余下全文