篇一 :数电实验报告:计数器及其应用

数字电子技术实验报告

实验四:计数器及其应用

一、实验目的:

1、熟悉常用中规模计数器的逻辑功能。

2、掌握二进制计数器和十进制计数器的工作原理和使用方法。

二、实验设备:

1、数字电路实验箱;

2、74LS90。

三、实验原理:

1、 计数是一种最简单基本运算,计数器在数字系统中主要是对脉冲的个数进行计数,以实现测量、计数和控制的功能,同时具有分频功能。计数器按计数进制分有:二进制计数器,十进制计数器和任意进制计数器;按计数单元中触发器所接收计数脉冲和翻转顺序分有:异步计数器,同步计数器;按计数功能分有:加法计数器,减法计数器,可逆(双向)计数器等。

2、 74LS90是一块二-五-十进制异步计数器,外形为双列直插,NC表示空脚,不接线,它由四个主从JK触发器和一些附加门电路组成,其中一个触发器构成一位二进制计数器;另三个触发器构成异步五进制计数器。在74LS90计数器电路中,设有专用置“0”端R0(1),R0(2)和置“9”端S9(1)S9(2)。。其中前两个为异步清0端,后两个为异步置9端。CP1, CP2为两个时钟输入端;Q0 ~Q3为计数输出端。当R1=R2=S1=S2=0时,时钟从CP1引入,Q0输出为二进制;从CP2引入,Q3输出为五进制。时钟从CP1引入,二Q0接CP1,则Q3Q2Q1Q0输出为十进制(8421码);时钟从CP2引入,而Q3接CP1,则Q0Q3Q2Q1输出为十进制(5421码)。

四、实验原理图及实验结果:

1、实现0~9十进制计数。

1)实验原理图如下:(函数信号发生器:5V 3Hz 偏移2.5V方波)

数电实验报告计数器及其应用

2)实验结果:

解码器上依次显示0~9十个数字。

2、实现六进制计数。

1)实验原理图如下:(函数信号发生器:5V 3Hz 偏移2.5V方波)

…… …… 余下全文

篇二 :计数器的应用——实验报告

计数器的应用

20100810410              计科四班                  阚琛琛

【实验内容】

1.       测试74LS90的逻辑功能;

2.       用模拟示波器测试74LS90的输入出波形图;

3.       用两个74LS90级联出24进制计数器。

【实验器材】

74LS90两片;

74LS00一片;

模拟示波器;

实验箱;

模拟示波器;

导线若干;

【实验过程】

1.       测试74LS90的逻辑功能;

(1)       清零和置九

74LS90的引脚5接VCC,10接GND,14接CP脉冲,清零2和3,置九6和7均接逻辑电平,输出QAQBQCQD12.9.8.11接指示灯,如图所示

调节逻辑电平的高低,观察四个指示灯的亮灭,得到下表:

(2)十进制计数

在上述电路的基础上,将输出QA接在时钟信号B上,得到如下图形:

将QAQBQCQD接在数码管上,显示数字0-9.

(3)二进制计数

在十进制的基础上,将显示的数码管QB接口接在清零端,如图:

输出QAQBQCQD接在数码管上,则显示0-1;

…… …… 余下全文

篇三 :电子技术实验报告7-计数器及其应用

学生实验报告

…… …… 余下全文

篇四 :数字电路实验报告 计数器的逻辑功能及应用

数字电路实验报告

计数器逻辑功能及其应用

一、   实验目的:

1.    熟悉中等规模集成电路计数器74LS160的逻辑功能,使用方法及应用。

2.    掌握构成任意进制计数器的方法。

二、   实验设备及器件:

1.    数字逻辑电路实验板      1片

2.    74HC160同步加法二进制计数器        2片

3.    74HC00二输入四与非门      1片

三、   实验原理:

计数器是一个用以实现计数功能的时序部件,它不仅可用来计脉冲数,还常用作数字系

统的定时、分频和执行数字运算以及其它特定的逻辑功能。

计数器种类很多。按构成计数器中的各触发器是否使用一个时钟脉冲源来分,有同步计数器和异步计数器。根据计数制的不同,分为二进制计数器,十进制计数器和任意进制计数器。根据计数的增减趋势,又分为加法、减法和可逆计数器。还有可预置数和可编程序功能计数器等等。目前,无论是 TTL还是 CMOS集成电路,都有品种较齐全的中规模集成计数器。使用者只要借助于器件手册提供的功能表和工作波形图以及引出端的排列,就能正确地运用这些器件。

集成计数器74HC160是二-五-十进制计数器,其管脚排列如图。

四、   实验内容

1.    构成摸10计数器

实验原理图

实验结果:数码管显示为从0到9 之间变化。

…… …… 余下全文

篇五 :西工大数电实验报告——计数器及其应用

计数器及其应用

班级:03051001

学号:

姓名:

同组成员:

一、      实验目的

1.      熟悉常用中规模计数器的逻辑功能。

2.      掌握二进制计数器和十进制计数器的工作原理和使用方法。

3.      运用集成计数器构成1/N分频器。

二、      实验设备

数字电路试验箱、函数信号发生器、数字双踪示波器、74LS90

三、      实验原理

计数是一种最简单基本运算,计数器在数字系统中主要是对脉冲的个数进行计数,以实现测量、计数和控制的功能,同时兼有分频功能。计数器按计数进制有:二进制计数器,十进制计数器和任意进制计数器;按计数单元中触发器所接收计数脉冲和翻转顺序分有:异步计数器,同步计数器;按计数功能分有:加法计数器,减法计数器,可逆(双向)计数器等。

目前,TTL和CMOS电路中计数器的种类很多,大多数都具有清零和预置功能,使用者根据器件手册就能正确地运用这些器件。实验中用到异步清零二-五-十进制异步计数器74LS90。

74LS90是一块二-五-十进制异步计数器,外形为双列直插,引脚排列如图(1所示,逻辑符号如图(2所示,图中的NC表示此脚为空脚,不接线,它由四个主从JK触发器和一些附加门电路组成,其中一个触发器构成一位二进制计数器;另三个触发器构成异步五进制计数器。在74LS90计数器电路中,设有专用置“0”端和置“9”端。其中为两个异步清零端,为两个异步置9端,CP1、CP2为两个时钟输入端,Q0~Q3为计数输出端,74LS90的功能表见表(1,由此可知:当R1=R2=S1=S2=0时,时钟从CP1引入,Q0输出为二进制;时钟从CP2引入,Q3输出为五进制;时钟从CP1引入,而Q0接CP2 ,即二进制的输出与五进制的输入相连,则Q3Q2Q1Q0输出为十进制(8421BCD码);时钟从CP2引入,而Q3接CP1 ,即五进制的输出与二进制的输入相连,则Q0Q1Q2Q3输出为十进制(5421BCD码)。

…… …… 余下全文

篇六 :数字逻辑实验报告:计数器及其应用

安徽师范大学

       学院实验报告

专业名称    软件工程

  

实验课程    数字逻辑

实验名称  计数器及其应用

       

       

同组人员   

实验日期    2013.6.3

…… …… 余下全文

篇七 :实验六 计数器及其应用

信 息 工 程 学 院

数字逻辑与数字系统 实验/实习报告

学院:信息工程学院 班级:信息111 姓名:朱伟定 学号:2011013259 成绩:A

实验六 计数器及其应用

一、 实验目的

1. 学习集成触发器构成计数器的方法;

2. 掌握中规模集成计数器的使用方法及功能测试方法;

3. 用集成电路计数器构成1/N分频器。

二、实验预习要求

1. 复习计数器电路工作原理;

2. 预习中规模集成电路计数器74LS192的逻辑功能及使用方法;

3. 复习实现任意进制计数的方法。

三、实验原理

计数器是典型的时序逻辑电路,它用来累计和记忆输入脉冲的个数。计数是数字系统中很重要的基本操作,集成计数器是最广泛应用的逻辑部件之一。

计数器种类较多,按构成计数器中的多触发器是否使用一个时钟脉冲源来分,有同步计数器和异步计数器;根据计数制的不同,分为二进制计数器、十进制计数器和任意进制计数器;根据计数的增减趋势,又分为加法、减法和可逆计数器。还有可预置数和可编程序功能计数器等。 实验报告的内容与格式按任课教师的要求书写。

实验六计数器及其应用

图实验6.1 四位二进制异步加法计数器

1. 用D触发器构成异步二进制加/减计数器。

如图实验6.1所示,用四个D触发器构成四位二进制异步加法计数器,其连接特点是将D触发器接成T’触发器,再由低位触发器的Q端和高一位的CP端相连。

如果将上图中的Q端与高一位的CP端相连,即可构成四位二进制异步减法计数器。

2. 计数器级联使用及任意进制计数器的实现

(1)任意进制的实现

图实验6.2所示利用74LS192采用复位法构成五进制计数器。

图实验6.3所示利用74LS161采用置位法构成十进制计数器。

实验六计数器及其应用

实验六计数器及其应用

图实验6.2 复位法构成五进制计数器 图实验6.3 置位法构成十进制计数器

(2) 一个十进制计数器只能表示0~9,要扩大计数范围,常常用多个十进制计数器级联使用。74LS192设有进位(或借位)输出端,因此可用其进位(或借位)输出信号驱动下一级计数器。如图实验6.4所示。

…… …… 余下全文

篇八 :实验4:同步计数器及其应用实验报告

实验4:同步计数器及其应用实验报告

一、       实验目的

1、了解可编程数字系统设计的流程

2、掌握Quartus II 软件的使用方法

3、掌握原理图输入方式设计数字系统的方法和流程

4、掌握74LS161同步16进制计数器的特点及其应用

二、     实验设备

1、计算机:Quartus II 软件

2、Altera DE0 多媒体开发平台

3、集成电路: 74LS10

4、集成电路:74LS161

三、     实验内容

1、 74LS161逻辑功能的测试

2、用74LS161实现12进制计数(异步清零)

3、用74LS161实现12进制计数(同步置数)

四、     实验原理

74LS161

1、 74LS161:异步清零、同步置数四位二进制计数器

2、引脚的定义:

              使用74161实现16进制和12进制

1)        首先使用quartus软件建立原理图,首先实现16进制,所以只需要将需要的输入输出接到相应的引脚上,其中需要注意的是我们需要让这个板子开始工作,所以需要将T和P引脚接响应的高电压,然后将cp信号接入相应的输入;q0q1q2q3接到相应的输出就可以了,然后编译。现在在建立波形文件完成仿真,通过仿真结果就可以看到自己的电路是否正确。最后一步就是实现在FPGA上的应用,我们需要做的就是给原来的 原理图分配相应的引脚,然后重新编译后,插入线就可以看到仿真结果了。

…… …… 余下全文