篇一 :EDA实验报告数字钟

alumni1  南昌大学实验报告

学生姓名:      刘光林          学    号:  6100209064         专业班级:卓越(3+1               

实验类型:□ 验证 □ 综合 ■ 设计 □ 创新   实验日期:         实验成绩:            

  实验四   多功能数字钟设计

一、实验设计

1、数字显示当前的小时、分钟;

2、闹钟和24小时计时显示;

3、一个调节键,用于调节目标数位的数字。对调节的内容敏感,如调节分钟或秒时,保持按下时自动计数,否则以脉冲计数;

4、一个功能键,用于切换不同状态:计时、调时、调分、调秒。

二、实验步骤

根据实验要求可以将该工程设计几个模块:

1、秒计时模块

  

代码:

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

entity second is

port(clk,reset,setmin:in std_logic;

…… …… 余下全文

篇二 :EDA数字钟实验报告

EDA实现多功能数字钟

实验报告

实验    EDA实验数字钟

一.      实验任务

用FPGA器件和EDA技术实现多功能数字钟的设计

已知条件:1.MAX+PlusII软件

         2..FPGA实验开发装置

基本功能:1.以数字形式显示时,分,秒的时间;

         2.小时计数器为24进制;

         3.分,秒计数器为60进制;

多功能数字电子钟设计:

输入变量:时钟CPS,直接清零RD;输出变量: 小时H[7..4]、H[3..0]为8421BCD码输出,其时钟为CLK;分计时M[7..4]、M[3..0]为8421BCD码输出,其时钟为CPM;秒计时S[7..4]、S[3..0]为8421BCD码输出,其时钟为CLK; RD为清零信号等。

二.仿真与波形

1 .60进制原理图如下;

其仿真波形如下:

2. 24进制原理图如下:

其仿真波形如下:

3.数字钟的整个电路图如下:

逻辑电路说明:由电路分析得知,多功能数字电子钟最基本的计时电路在CLK(秒)时钟作用下,电路输出变量为H[7..0] ,M [7..0]及 S [7..0],按8421BCD码正常走时,电路为异步时序逻辑电路

4.数字电子钟的仿真波形如下:

仿真波形分析及结论:

由仿真波形分析得知在CLK(秒)时钟作用下,电路正常走时。分析过程完全符合多功能数字电子钟最基本的计时功能,逻辑电路设计正确。

…… …… 余下全文

篇三 :EDA数字时钟实验报告

EDA实验报告

实验名称:EDA多功能数字时钟设计

学    院:

姓    名:

班    级:电气 071

指导老师:

EDA多功能数字时钟设计

摘要:本实验中我们运用EDA课程中所学的知识,设计了一个拥有时间校正和闹钟功能的24小时制多功能数字时钟。通过本实验,我们初步了解EDA的设计过程;初步掌握用VHDL语言的设计方法和设计思想;初步熟悉Max+Plus II软件平台的编程和仿真,并通过AEDK-EDA实验板下载模拟实现初步了解了硬件实现的方法。

关键词:数字时钟、VHDL、FPGA、Max+Plus II

一、  设计方案

1.功能描述

本次设计的多功能数字钟具有基本的计时功能和时间校正功能,同时可以进行定时闹铃。计时功能采用24小时计时,显示时、分、秒。其中分钟和秒模块采用60进制实现;小时设计了24进制的计数器.时间校正部分,分为时校时和分校时,分别通过控制时校正按钮和分校正按钮来实现.闹铃部分,先通过模式切换按钮进入闹钟定时,然后通过与时间校正相同的方法来进行闹铃时间的预置,当预置到达时间时,时钟将通过蜂鸣器进行闹铃。

2.硬件使用模块:
  EPF10K20TC144-4,模块10(蜂鸣器),模块17(脉冲源),模块21(开关设置),模块22(设置跳接模块), 模块23(键盘显示模块)

  

3.VHDL 程序设计框图

  

 

二、  VHDL源程序

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

entity clock is

…… …… 余下全文

篇四 :EDA数字钟实验报告


EDA技术实验报告

专业:通信工程

学号:201010001823

班级:075102-10

姓名:包仁智

指导老师:王巍

实验一   3/8译码器的实现

一. 实验目的

1. 学习QuartusⅡ 的基本操作;

2. 熟悉教学实验箱的使用

3. 设计一个3/8  译码器;

4. 初步掌握VHDL语言和原理图的设计输入,编译,仿真和调试过程;

二. 实验步骤

学习QuartusⅡ 软件的使用方法:

1.进入QuartusⅡ环境;

2.单击File  菜单下的New Project Wizard: Introduction  按照向导里面的介绍新建一

个工程并把它保存到自己的路径下面。(注意路径当中不要有中文和空格)

3.单击File菜单下的New,选择VHDL File,后单击OK,创建一个后缀名为.vhd的文件。此vhd文件名必须与设计实体名相同。

4. 输入完成后检查并保存,编译。

5. 改错并重新编译;

6. 建立仿真波形文件并进行仿真。单击 File 菜单下的New,选择 Vector Waveform File单击OK,创建一个后缀名为*.vwf 的仿真波形文件,按照课件上的方法编辑输入波形,保存,进行仿真,验证仿真结果是否正确;

7. 选择器件及分配引脚,重新编译;

8. 根据引脚分配在试验箱上进行连线,使用LED进行显示;

9. 程序下载,观察实验结果并记录;

三.  仿真波形:

四.心得体会

通过此次课堂的亲自操作,大致了解了quartus的工作流程和操作步骤,对数字电路中的38译码器和半加器的原理有了新的认识,其中,全加器可以通过之前半加器的原理图生成文件以及与门和或门结合来实现。同时对VHDL语言的语法结构和设计方法也有了初步认识,虽然还不能独立编写程序但是却可以看懂程序了,对这门编程语言有浓厚的兴趣!

…… …… 余下全文

篇五 :EDA技术数字时钟实验报告

 

JIU JIANG UNIVERSITY

  EDA技术实验报告

            实验名称:       数字时钟     

            院 (系):     电子工程学院   

            班    级:        B 1111      

            学    号:        52  号      

            实 验 室:      实验楼 307    

…… …… 余下全文

篇六 :华北电力大学EDA数字时钟实验报告

 

课程设计报告

( 20##-- 20##年度第1学期)

名    称:电子电工实习(EDA部分)

  院    系:   科技学院信息系      

班    级:      计算机11k1        

学    号:     111909010118       

学生姓名:         曲强          

指导教师:      张宁   孙娜       

设计周数:      分散1         

成    绩:                        

…… …… 余下全文

篇七 :EDA课程设计报告 数字钟(综合实验) 哈尔滨学院

 EDA课程设计报告

设计课题:数字钟(综合实验)   

专业班级:电子10-1         

学生姓名:岳杨             

指导教师:刘宏             

设计时间: 2013.6.24-2013.7.5 

数字钟(综合实验)

 一、设计任务与要求

1.设计任务

1)利用MAXPLU软件及所学知识设计数字钟。

2)完成总程序的编译、并下载到实验箱。

2.设计要求

     1)具有时、分、秒计数显示功能,以二十四小时循环计时。

2)具有清零,调节小时,分钟的功能。

3)具有整点报时同时LED灯花样显示的功能。

3.实验目的

1) 掌握多位计数器相连的设计方法。

2) 掌握十进制,六进制,二十四进制计数器的设计方法。

3) 继续巩固多位共阴极扫描显示数码管的驱动,及编码。

4) 掌握扬声器的驱动。

5) LED灯的花样显示。

6) 掌握CPLD技术的层次化设计方法。

4.硬件要求

1) 主芯片Altera EPM7128SLC84-15。

2)8个LED灯。

3)扬声器。

4)8位8段扫描共阴极数码显示管。

5)三个按键开关(清零,调小时,调分钟)。

5.模块说明

1)各种进制的计数及时钟控制模块(10进制、6进制、24进制)。

2)扫描分时显示、译码模块。

…… …… 余下全文

篇八 :eda数字钟实验报告

实验四  数字钟设计

一、实验目的

1)        进一步学习更复杂的EDA熟练项目设计,更的掌握VHDL语言设计。

2)        学习动态数码管的VHDL编程。

3)        更加熟练计时显示、进位和校时的编程方法。

二、实验内容与要求

1、在实验箱上实现动态扫描数码管显示时分秒;

2、可以预置为12小时计时显示和24小时计时显示;

3、一个调节键,用于调节目标数位的数字。对调节的内容敏感,如调节分钟或秒时,保持按下时自动计数,否则以脉冲计数;

4、一个功能键,用于切换不同状态:计时、调时、调分、调秒。

三、设计思路

首先设计分和秒的计时模块,由于分和秒都是模六十计数,输出都设计有一个进位,当计时到59后又从零开始计数,此时进位输出由零变为1,进位端形成一个上升沿作为下一模块的计数脉冲,所以秒模块的进位用来作为分模块的计数脉冲,分模块的计数模块用来作为时模块的计数脉冲。小时计数计数模块设置一个控制端用来控制模24和模12计数。此外设计一个校时控制模块,输入端分别为秒、时、分的手动控制计数端和正常计数时的各计时模块的计时脉冲,以及一个控制端控制正常计时和校时。最后设计一个动态扫描模块,用来动态显示时间。由于实际实验箱最小频率脉冲为2HZ,因此需要设计一个二分频的模块用来得到1HZ秒计时模块。

四、实验程序(程序来源:独立设计编写)

二分频模块

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITY div2 IS

…… …… 余下全文