篇一 :译码器实验报告

课程编号                                             

深 圳 大 学 实 验 报 告

课程名称:            数字电路                

实验名称:             译码器                 

    院:          信息工程学院             

…… …… 余下全文

篇二 :数字电路译码器实验报告

一、实验目的与要求

1.了解和正确使用MSI组合逻辑部件;

2.掌握一般组合逻辑电路的特点及分析、设计方法;

3. 学会对所设计的电路进行静态功能测试的方法;

4. 观察组合逻辑电路的竞争冒险现象。

预习要求:

(1)复习组合逻辑电路的分析与设计方法;

(2)根据任务要求设计电路,并拟定试验方法;

(3)熟悉所用芯片的逻辑功能、引脚功能和参数;

(4)了解组合逻辑电路中竞争冒险现象的原因及消除方法。

(5)二、  实验说明   

译码器是组合逻辑电路的一部分。所谓译码就是不代码的特定含义“翻译”出来的过程,而实现译码操作的电路称为译码器。译码器分成三类:

1二进制译码器:把二进制代码的各种状态,按照其原意翻译成对应输出信号的电路。如 中规模2线—4线译码器74LS139,3线—8线译码器74LS138等。

    2.二—十进制译码器:把输入BCC码的十个代码译成十个高、低电平信号。

    3字符显示译码器:把数字、文字和符号的二进制编码翻译成人们习惯的形式并直观地显示出来的电路,如共阴极数码管译码驱动的74LS4874LS248,共阳极数码管译码驱动的74LS4974LS249等。

三、  实验设备

1 RXB-1B数字电路实验箱

2器件

74LS00      四2输入与非门

74LS20      双4输入与非门

74LS138     3线—8线译码器

四、  任务与步骤

 任务一:测试3线—8线译码器74LS138逻辑功能

将一片3线—8线译码器74LS138插入RXB-1B数字电路实验箱的IC空插座中按图3-15接线。A0A1A2STASTBSTC端是输入端,分别接至数字电路实验箱的任意6个电平开关。Y7Y6Y5Y4Y3Y2Y1Y0输出端,分别接至数字电路实验箱的电平显示器的任意8个发光二极管的插孔8号引脚地接至RXBIB型数字电路实验箱的电源“”,16号引脚+5V接至RXB-1B数字电路实验箱的电源“+5V”。按表3-2中输入值设置电平开关状态,观察发光二极管(简称LED)的状态,并将结果填入表中。

…… …… 余下全文

篇三 :数字电子线路实验报告_译码器及其应用

数电实验报告

实验三 译码器及其应用

一、实验目的

1、掌握译码器的测试方法。

2、了解中规模集成译码器的功能,管脚分布,掌握其逻辑功能。

3、掌握用译码器构成组合电路的方法。

4、学习译码器的扩展。

二、实验仪器

1、数字逻辑电路实验板 1块

2、74HC138 3-8线译码器 2片

3、74HC20 双4输入与非门 1片

三、实验原理

1、中规模集成译码器74HC138

74HC138是集成3线-8线译码器,在数字系统中应用比较广泛。图3-1是其引脚排列。

其中 A2 、A1 、A0

为地址输入端, 0Y~ 7Y为译码输出端,S1、2S、3S为使能端。74HC138真值表如下:

https://upload.fanwen118.com/wk-img/img100/2691471_1.jpg

74HC138引脚图为:

https://upload.fanwen118.com/wk-img/img100/2691471_2.jpg

74HC138工作原理为:当S1=1,S2+S3=0时,电路完成译码功能,输出低电平有效。其中:

https://upload.fanwen118.com/wk-img/img100/2691471_3.jpg

2、译码器应用

因为74HC138 三-八线译码器的输出包括了三变量数字信号的全部八种组合,每一个输

出端表示一个最小项,因此可以利用八条输出线组合构成三变量的任意组合电路。

四、实验内容

1、译码器74HC138 逻辑功能测试

(1)控制端功能测试

测试电路如图:

https://upload.fanwen118.com/wk-img/img100/2691471_4.jpghttps://upload.fanwen118.com/wk-img/img100/2691471_5.jpg

按上表所示条件输入开关状态。观察并记录译码器输出状态。

LED指示灯亮为0,灯不亮为1。

(2)逻辑功能测试

将译码器使能端S1、2S、3S及地址端A2、A1、A0 分别接至逻辑电平开关输出口,八个

输出端Y7 ? ? ?Y0依次连接在逻辑电平显示器的八个输入口上,拨动逻辑电平开关,按下表逐项测试74HC138的逻辑功能。

https://upload.fanwen118.com/wk-img/img100/2691471_6.jpg

2、用74HC138实现逻辑函数

Y=AB+BC+CA

如果设A2=A,A1=B,A0=C,则函数Y的逻辑图如上所示。用74HC138和74HC20各一

块在实验箱上连接下图线路。并将测试结果下面的记录表中。

…… …… 余下全文

篇四 :138译码器实验报告

138译码器实验报告

一、实验目的与要求

1、掌握74HC138 译码器的工作原理,熟悉74HC138 译码器的具体运用连接方法,了解

74HC138 是如何译码的。

2、认真预习本节实验内容,尝试自行编写程序,填写实验报告

二、实验设备

STAR 系列实验仪一套、PC 机一台

三、实验内容

1、编写程序:使用82C55 的PC0、PC1、PC2 控制74HC138 的数据输入端,通过译码产生8

选1 个选通信号,轮流点亮8 个LED 指示灯。

2、运行程序,验证译码的正确性。

五、实验步骤

1、连线说明:

C3 区:A、B、C —— B4 区:PC0、PC1、PC2

C3 区:G1、G2A、G2B —— C1 区:VCC、GND、GND

C3 区:JP35 —— G6 区:JP65(LED 指示灯)

B4 区:CS、A0、A1 —— A3 区:CS1、A0、A1

2、 调试程序,查看运行结果是否正确。

六、程序运行结果

七.实验心得

通过星研程序电子程序实现了通过使用82C55 的PC0、PC1、PC2 控制74HC138 的数据输入端,通过译码产生8选1 个选通信号,轮流点亮8 个LED 指示灯的实验效果,体验到了微机原理功能的庞大。通过对这程序的制作,使我对汇编语言的基本知识的使用更加熟练,同时也增加了我对汇编语言的一些认识,在作业完成过程中通过和同学的交流,也增加了合作的技巧。通过查阅以、一些资料也学到了一些课本上没有的东西,拓宽了自己的知识面,增加了学好汇编语言的信心。!

…… …… 余下全文

篇五 :译码器实验报告

实验2 译码器及其应用

  一、实验目的

  1、掌握中规模集成译码器的逻辑功能和使用方法

  2、熟悉数码管的使用

二、实验原理

译码器是一个多输入、多输出的组合逻辑电路。它的作用是把给定的代码进行“翻译”,变成相应的状态,使输出通道中相应的一路有信号输出。译码器在数字系统中有广泛的用途,不仅用于代码的转换、终端的数字显示,还用于数据分配,存贮器寻址和组合控制信号等。不同的功能可选用不同种类的译码器。

译码器可分为通用译码器和显示译码器两大类。前者又分为变量译码器和代码变换译码器。

1、变量译码器(又称二进制译码器),用以表示输入变量的状态,如2线-4线、3线-8线和4线-16线译码器。若有n个输入变量,则有2n个不同的组合状态,就有2n 个输出端供其使用。而每一个输出所代表的函数对应于n个输入变量的最小项。 

    以3线-8线译码器74LS138为例进行分析,图5-6-1(a)、(b)分别为其

逻辑图及引脚排列。

其中 A2 、A1 、A0 为地址输入端,为译码输出端,S1、为使能端。

表5-6-1为74LS138功能表

当S1=1,=0时,器件使能,地址码所指定的输出端有信号(为0)输出,其它所有输出端均无信号(全为1)输出。当S1=0, =X时,或 S1=X,=1时,译码器被禁止,所有输出同时为1。

 

     

           (a)                                          (b)

…… …… 余下全文

篇六 :译码器实验报告

深 圳 大 学 实 验 报 告

      课程名称:­          数字逻辑与数字系统                                

      实验项目名称          译码器                      

              

学院           计算机与软件学院                    

…… …… 余下全文

篇七 :译码器及其应用实验报告

实验二译码器及其使用

一.      实验目的

1.       掌握译码器的测试方法。

2.       了解中规模集成译码器的管脚分布,掌握其逻辑功能。

3.       掌握译码器构成组合电路的方法。

4.       学习译码器的扩展。

二.实验设备及器件

1.       数字逻辑电路实验板1块。

2.       74HC(LS)20(四二输入与非门)一片。

3.74HC(LS)138(3-8译码器)二片。

三.实验原理

1.74HC(LS)138 是集成3 线-8 线译码器,在数字系统中应用比较广泛。下图是其引脚排列,

其中A2、A1、A0 为地址输入端,~为译码输出端,S 1、2、3 为使能端。下表为74HC(LS)138

功能表。74HC(LS)138 工作原理为:当S 1=12+3=0 时,电路完成译码功能,输出低电平有效。

其中:

因为74HC(LS)138的输出包括了三变量数字信号的全部八种组合,每一个输出端表示一个最小项(的非),因此可以利用八条输出线组合构成三变量的任意组合电路;其输出低电平有效。

2.实验用器件管脚介绍:

74HC(LS)20(二四输入与非门)管脚如下图所示。

四.实验内容

1.逻辑功能测试

将输出端接到发光二极管上,然后从000~111依次输入译码器,然后改变输出线与8个端口的链接,探索发光规律。如:当输入为010时(A2=0,A1=1,A0=0),输出线接在Y2(非)时发光,即其输出为低电平。

…… …… 余下全文

篇八 :四七译码器实验报告

7段数码显示译码器

11微电子    1117426021 黄跃

【实验目的

1.     设计七段显示译码器,

2.     学习Verilog HDL文本文件进行逻辑设计输入;

3.     学习设计仿真工具modelsim的使用方法;

【实验内容】

1. 实现BCD/七段显示译码器的“ Verilog ”语言设计。

说明:7段显示译码器的输入为:IN0…IN3共4根, 7段译码器的逻辑表自行设计,要求实现功能为:输入“ 0~9 ”(二进制),输出“ 0…9 ”(显示数码),输出结果应在数码管(共阴)上显示出来。

2. 设计仿真文件,进行验证。

【实验原理】

7段数码是纯组合电路,通常的小规模专用IC,如74或4000系列的器件只能作十进制BCD码译码,然而数字系统中的数据处理和运算都是2进制的,所以输出表达都是16进制的。为了满足16进制数的译码显示,利用Verilog译码程序在FPGA/CPLD中来实现。首先要设计一段程序,该程序可用case语句表述方法,根据真值表写出程序。设输入的4位码为IN[3:0],输出控制7段共阴数码管的七位数据为led7[6:0]。首先完成7段BCD码译码器的设计。本实验中的7段译码管输出信号led7的7位分别接数码管的7个段,高位在左,低位在右。如当LED7输出为“1101111”时,数码管的7个段:a,b,c,d,e,f,g分别接1、1、1、1、0、1、1;接有高电平的段发亮,于是数码管显示“9”。

【共阴数码管】

 

程序源代码(加注释)

module LED7(IN,led7);

input [3:0] IN;   //定义LED7的4位数据输入端口

…… …… 余下全文